US20040003780A1 - Self aligning non contact shadow ring process kit - Google Patents
Self aligning non contact shadow ring process kit Download PDFInfo
- Publication number
- US20040003780A1 US20040003780A1 US10/614,992 US61499203A US2004003780A1 US 20040003780 A1 US20040003780 A1 US 20040003780A1 US 61499203 A US61499203 A US 61499203A US 2004003780 A1 US2004003780 A1 US 2004003780A1
- Authority
- US
- United States
- Prior art keywords
- ring
- edge ring
- purge
- substrate support
- edge
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Abandoned
Links
Images
Classifications
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4585—Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/458—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
- C23C16/4582—Rigid and flat substrates, e.g. plates or discs
- C23C16/4583—Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
- C23C16/4586—Elements in the interior of the support, e.g. electrodes, heating or cooling devices
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B25/00—Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
- C30B25/02—Epitaxial-layer growth
- C30B25/12—Substrate holders or susceptors
-
- C—CHEMISTRY; METALLURGY
- C30—CRYSTAL GROWTH
- C30B—SINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
- C30B31/00—Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
- C30B31/06—Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
- C30B31/14—Substrate holders or susceptors
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/67—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
- H01L21/683—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
- H01L21/687—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches
- H01L21/68714—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support
- H01L21/68735—Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using mechanical means, e.g. chucks, clamps or pinches the wafers being placed on a susceptor, stage or support characterised by edge profile or support profile
Definitions
- the present invention relates to an improved susceptor which inhibits the deposition of process gasses on the edge and backside of a substrate, and which may be easily removed and cleaned.
- Chemical vapor deposition is one of a number of processes used to deposit thin films of material on semiconductor substrates.
- a vacuum chamber is provided with a susceptor configured to receive a substrate.
- the substrate is placed into and removed from the chamber by a robot blade and is supported by a substrate support during processing.
- a precursor gas is charged into the vacuum chamber through a gas manifold plate situated above the substrate, where the substrate is heated to process temperatures, generally in the range of about 250° to 650° C.
- the precursor gas reacts on the heated substrate surface to deposit a thin layer thereon and to form volatile byproduct gases, which are pumped away through the chamber exhaust system.
- a primary goal of substrate processing is to obtain the largest useful surface area, and as a result the greatest number of chips, possible from each substrate. This is highlighted by the recent demands from semiconductor chip manufacturers to minimize edge exclusion on the substrates processed, so that as little of the substrate surface as possible, including the edge of the wafer, is wasted.
- Some important factors to consider include processing variables that affect the uniformity and thickness of the layer deposited on the substrate, and contaminants that may attach to the substrate and render all or a portion of the substrate defective or useless. Both of these factors should be controlled to maximize the useful surface area for each substrate processed.
- One source of particle contamination in the chamber is material deposited at the edge or on the backside of the substrate that flakes off or peels off during a subsequent process.
- Substrate edges are typically beveled, making deposition difficult to control over these surfaces.
- deposition at substrate edges is typically nonuniform and, where metal is deposited, tends to adhere differently to a dielectric than to silicon. If a wafer's dielectric layer does not extend to the bevel, metal may be deposited on a silicon bevel and eventually chip or flake, generating unwanted particles in the chamber.
- chemical mechanical polishing is often used to smooth the surface of a substrate coated with tungsten or other metals. The act of polishing may cause any deposits on the edge and backside surfaces to flake and generate unwanted particles.
- a number of approaches have been employed to control the deposition on the edge of the substrate during processing.
- One approach employs a shadow ring which essentially masks a portion of the perimeter of the substrate from the process gasses.
- One disadvantage with the shadow ring approach is that, by masking a portion of the substrate's perimeter, the shadow ring reduces the overall useful surface area of the substrate. This problem is made worse if the shadow ring is not accurately aligned with the substrate, and alignment can be difficult to achieve.
- Another approach employs a purge ring near the edge of the substrate for delivering a purge gas along the substrate's edge to prevent edge deposition.
- the purge gas limits or prevents the deposition gas from reaching the substrate and thus limits or prevents deposition on the wafer's beveled edge.
- a third approach uses a shutter ring and a purge ring in combination to form a purge gas chamber having a purge gas inlet and outlet adjacent the substrate's edge so as to guide the purge gas across the wafer's edge.
- a wafer typically sits inside (radially) the purge ring, with a gap therebetween.
- purge rings are made of aluminum and are welded to the substrate support in an effort to prevent the ring from deforming during processing.
- the aluminum rings nonetheless deform, losing the integrity of their shape and therefore compromise their ability to keep particles from depositing on the substrate's edge. This can change the size of the gap, leading to non-uniformity of deposition across the wafer's edge.
- material thereon can flake, and create particles which can contaminate the wafer.
- the present invention overcomes the problems of the prior art by providing a substrate support having a removable edge ring, which may be made of a material having a lower coefficient of thermal expansion (CTE) than that of the substrate support.
- the edge ring may be a shadow ring, a purge ring, or function as both edge ring and shadow ring.
- the edge ring and the substrate support are configured for pin and slot coupling.
- either the edge ring or the substrate support includes a plurality of pins
- the other of the edge ring or the substrate support includes a plurality of alignment slots in which the pins may be inserted.
- Each of the slots is at least as wide as a corresponding one of the plurality of pins and extends in the radial direction. a length that is sufficient to compensate for the difference in thermal expansion between the substrate support and the edge ring.
- the invention provides a removable first edge ring positioned above the substrate support and configured for pin and slot coupling with a second edge ring attached to the substrate support.
- first edge ring or the second edge ring includes a plurality of pins
- the other of the first edge ring or second edge ring includes one or more alignment recesses and one or more alignment slots.
- the pins are inserted into the alignment recesses and alignment slots to couple the two edge rings in alignment.
- Each of the alignment recesses and alignment slots are at least as wide as the corresponding one of the plurality of pins, and each of the alignment slots extends in the radial direction a length that is sufficient to compensate for the difference in thermal expansion between the first edge ring and the second edge ring.
- FIG. 1 is an exploded perspective view of a susceptor of the invention
- FIG. 2 is a side view, in pertinent part, of a susceptor of the invention
- FIG. 3 is a side view, in pertinent part, of a susceptor of the invention.
- FIG. 4 is a side view in pertinent part of a susceptor of the invention.
- FIGS. 5A and 5B are side views in pertinent part of a susceptor of the invention.
- FIG. 6 is a side view in pertinent part of a susceptor of the invention.
- FIG. 7 is a side view of a chamber showing a susceptor of the invention in a non-processing position.
- FIG. 8 is a top view of a shadow ring of the invention.
- FIG. 9 is a top view of a shadow ring supported on a chamber body ring of the invention.
- FIG. 10 is a side view of a chamber showing a susceptor of the invention in a processing position
- FIG. 11 is a side view of a chamber showing a susceptor of the invention.
- FIG. 1 is an exploded perspective view of a susceptor 11 a .
- the susceptor 11 a comprises a substrate support 13 , adapted for pin and slot coupling with an edge ring, such as purge ring 15 .
- the substrate support 13 comprises three pins 19 a - c which extend upwardly from the top surface of substrate support 13 .
- the bottom surface of the purge ring 15 comprises three alignment slots 17 a - c positioned to interface with the three pins 19 a - c .
- the substrate support 13 comprises a central wafer supporting surface 13 a , and the three pins 19 a - c are disposed substantially equally spaced around the substrate supporting surface 13 a .
- Each of the slots 17 a - c is at least as wide as the corresponding pin 19 a - c , and extends radially outward from the center of the substrate supporting surface 13 a , in the direction in which the substrate support 13 expands and contracts during thermal cycling.
- the substrate support 13 is preferably made of a metal such as aluminum, as is conventional.
- the purge ring 15 is generally made of a material having a lower coefficient of thermal expansion (CTE) than the CTE of the substrate support 13 material.
- CTE coefficient of thermal expansion
- the purge ring 15 is made of a ceramic material.
- the slots 17 a - c extend a length which is sufficient to compensate for the difference in thermal expansion between the substrate support 13 and the purge ring 15 , over the range of process temperatures to which the susceptor 11 a is exposed. This difference in thermal expansion may be due to the different CTE of the purge ring 15 material and the substrate support 13 material.
- each pin 19 a - c is surrounded by a pad 21 made of a thermally insulating material, so as to achieve thermal insulation between the substrate support 13 and the purge ring 15 , as further described below with reference to FIG. 2.
- the pads 21 are preferably made of a highly polished ceramic and therefore allow the purge ring 15 to slide easily therealong while minimizing particle generation.
- the purge ring 15 may further include a plurality of wafer guide pins 23 to facilitate accurate wafer placement, as is disclosed in U.S. patent application Ser. No. 09/103,462 filed Jun. 24, 1998 (incorporated herein in its entirety).
- FIG. 2 is a side view, in pertinent part, of a susceptor 11 a , having a wafer W positioned thereon.
- the substrate support 13 , the purge ring 15 and the slots 17 a - c are configured such that with use of the pad 21 , no direct contact exists between the substrate support 13 and the purge ring 15 .
- the purge ring 15 experiences less thermal stress then would otherwise result if the purge ring 15 were to directly contact the typically higher temperature substrate support 13 .
- the slot 17 a has a depth greater than the length of the pin 19 a to reduce thermal conduction from the substrate support 13 to the purge ring 15 , via the pin 19 a.
- the slots 17 a - c extend radially outward relative to the center of the substrate support 13 and preferably are each just slightly wider than the respective pin 19 a - c . This prevents the purge ring 15 movement laterally relative to the substrate support occuring as a result of thermal cycling induced expansion and contraction from being more than the maximum distance allowing clearance between the slot 17 a and the pin 19 a pair.
- the pins 19 a - c also restrict rotational movement of the purge ring 15 relative to the substrate support 13 , thereby providing rotational alignment.
- the substrate support 13 comprises a purge gas delivery channel 25 and a diffuser ring 13 b which couples purge gas from the purge gas delivery channel 25 through a purge gas distribution channel 27 defined by an inner edge of the diffuser ring 13 b and an outer edge of the substrate support 13 , and then through a plurality of small orifices O formed in the diffuser ring 13 b to a lower edge of the purge ring 15 .
- the wafer W is positioned on the wafer supporting surface 13 a such that the edge of the wafer W is positioned adjacent the outlet of the purge slot 29 .
- the susceptor 11 a is typically heated to a temperature in the range of 350° to 475° C., typically by a heating coil embedded in or contacted with the underside of, the susceptor 11 a .
- the susceptor 11 a is typically allowed to cool back to ambient temperatures.
- This temperature change causes thermal expansion and contraction of the chamber elements, including the substrate support 13 and the purge ring 15 .
- thermal cycling which occurs during CVD processing, and the resulting expansion and contraction of the substrate support 13 and the diffuser ring 13 b , thermally induced stresses are not imposed upon the purge ring 15 , as it (and the pins 19 a - c supporting it) can move radially as the temperature changes, due to the pin 19 a - c and slot 17 a - c coupling.
- Any thermally induced expansion of the gap between the purge ring 15 and the wafer W is insignificant. Accordingly edge deposition is more uniformly and reliably prevented.
- the purge ring 15 may be easily lifted off the pins 19 a - c for routine cleaning or replacement. Accordingly downtime is minimized.
- FIG. 3 is a side view, in pertinent part, of a susceptor 11 b .
- the inventive susceptor 11 b of FIG. 3 is similar to the susceptor 11 a of FIG. 2, except the substrate support 13 of FIG. 2 does not comprise the diffuser ring 13 b . Instead, the purge gas delivery channel 25 delivers purge gas to a purge gas distribution channel 27 which is defined by an inner edge of the purge ring 15 and an outer edge of the substrate support 13 , as is the more narrowly defined purge gas slot 29 .
- the embodiment of FIG. 3 requires fewer parts, and replaces the orifices O (of FIG. 1) with a restrictor gap R.
- the restrictor gap R is formed by a horizontal notch in the substrate support 13 and a corresponding horizontal protrusion in the purge ring 15 .
- the size of the restrictor gap R is determined by the respective vertical dimensions of the substrate support 13 and the purge ring 15 to the horizontal notch or protrusion, and by the thickness of the pad 21 .
- the embodiment of FIG. 3 reduces clogging because the restrictor gap R which expands radially around the substrate support 13 in a continuum is less likely to clog than are the plurality of orifices. By reducing the number of parts, the FIG. 3 embodiment also reduces the probability of differential expansion therebetween and the resultant particle generation. Note that, like the FIGS. 1 and 2 embodiment, the purge ring 15 rests on the insulator pads 21 and is aligned by the pins 19 .
- FIG. 4 is a side view, in pertinent part, of a susceptor 11 c .
- the purge ring 15 of the inventive susceptor 11 c has a plurality of pins 19 (only one shown) which extend downward from the bottom surface of the purge ring 15 .
- the pins 19 are pressed into the purge ring 15 and the pads 21 are secured to the pins 19 in the same manner, or maybe integral to the pins 19 .
- each pin 19 is inserted within a corresponding slot 17 located on the substrate support 13 .
- the slots 17 are formed in the diffuser ring portion 13 b of the substrate support 13 .
- FIG. 4 shows that the positions of the pins 19 and the slots 17 may be switched, and still achieve the advantages of pin and slot coupling.
- FIGS. 5A and 5B are side views, in pertinent part, of a susceptor 11 d .
- the purge ring 15 a of FIGS. 5A and 5B is configured such that the inner edge 15 a overhangs the edge of the wafer W.
- the purge ring 15 a functions as both a purge ring 15 a and a shadow ring 4 (overhanging or shadowing the wafer's edge).
- the pin and slot coupling of FIGS. 5A and 5B allows the substrate support 13 to expand and contract without affecting the shape or position of the purge ring 15 a , as described above with reference to FIGS. 2 and 3.
- FIG. 5A shows the purge ring 15 a in a process position
- FIG. 5A shows the purge ring 15 a in a process position
- FIG. 5B shows the purge ring 15 a in a wafer W transfer position.
- shadow rings 4 overlap the wafer's edge, they are conventionally supported in a wafer W transfer position above the substrate support 13 (e.g., by a hanger or lip which protrudes from the chamber wall) while a wafer W is placed on or extracted from the substrate support 13 .
- the substrate support 13 elevates and engages the bottom of the shadow ring 4 , transferring the shadow ring 4 from the lip to the substrate support 13 as further described below.
- Conventional substrate supports 13 are initially lowered to a wafer W transfer position.
- a wafer handler then carries a wafer W into position above the substrate support 13 and the lift pins (not shown) lift the wafer W off the wafer handler. Thereafter, the wafer handler retracts, and the substrate support 13 is further elevated to engage the shadow ring 4 .
- FIG. 6 is a side view in pertinent part of a susceptor 11 e .
- the inventive susceptor 11 e is configured to facilitate access to the purge gas distribution channel 25 for cleaning.
- the surface of the substrate support 13 in which the pin 19 (or in an alternative embodiment, the slot 17 ) is located is below the outlet of the purge gas distribution channel 25 .
- the purge gas distribution channel 25 may be angled upwardly (preferably between 0° and 30° ), as shown in FIG. 6.
- FIG. 7 is a side view of a chamber showing a susceptor 11 f of the invention in a lowered non-processing position.
- the susceptor 11 f comprises a removable first edge ring, such as a shadow ring 4 , supported by a chamber body ring 200 disposed on the internal surface 102 of the processing chamber body 100 above the substrate support 13 and a second ring, such as a purge ring 15 , disposed on the substrate support 13 .
- the purge ring 15 may be attached to the substrate support 13 as described above relating to FIGS. 1 - 6 .
- the substrate support may be made of various materials, such as aluminum and ceramic, and may include a heating element, such as a resistive heating coil.
- the shadow ring 4 comprises a plurality of tapered or frustoconically shaped pins 19 (two shown), equally spaced around the perimeter of the shadow ring 4 and extending downwardly therefrom.
- the purge ring 15 includes at least one tapered or frustoconically shaped alignment recess 5 and at least one tapered or frustoconically shaped alignment slot 6 formed therein.
- the pins 19 are positioned to interface with the alignment recess 5 and the alignment slot 6 .
- the alignment recess 5 and the alignment slot 6 are at least as wide as a corresponding one of the plurality of pins 19 .
- the width is defined as the dimension perpendicular to the radial direction, relative to the center of the purge ring 15 .
- line 800 represents the radial direction relative to the center of the purge ring 15
- line 802 represents the direction perpendicular to the radial direction relative to the center of the purge ring 15 .
- the width of the alignment slot 6 being the dimension perpendicular to the radial direction relative to the center of the purge ring 15 , is shown by segment 804 .
- the radial dimension of the alignment slot 6 is shown by segment 806 .
- the alignment slot 6 extends in a radial direction, relative to the center of the purge ring 15 , a length that is sufficient to compensate for any difference in thermal expansion between the purge ring 15 and the shadow ring 4 .
- the radial dimension (i.e., length) of the alignment slot 6 is up to about sixty mils greater, preferably up to about forty mils greater, than the radial dimension of the corresponding pin 19 .
- the width of the alignment recess 5 and alignment slot 6 is between about three mils and about ten mils wider, preferably between about three mils and about eight mils wider, than the width of the corresponding pin 19 .
- the coupling of the pins 19 with the alignment recess 5 and the alignment slot 6 restricts movement of the shadow ring 4 caused by thermal cycling induced expansion and contraction or other causes to less than the length of the alignment slot 6 .
- the pins 19 also restrict rotational movement of the shadow ring 4 relative to the purge ring 15 , thereby providing rotational alignment.
- the pins 19 as shown in FIG. 7 preferably have a frustoconical shape, tapering from a base portion to a top portion.
- the alignment recess 5 and the alignment slot 6 have matching tapering sidewalls forming a wider opening portion and a narrower bottom portion for receiving the tapered pins 19 .
- This configuration allows for and corrects gross misalignment between the two rings because the narrower tip portion of the pins 19 can be inserted into the wider opening portion of the recess 5 and slot 6 with a greater margin of misalignment.
- misalignment of the shadow ring 4 with the purge ring 15 due to thermal expansion or other causes can be corrected when the pins 19 are inserted into the recess 5 and slot 6 when the rings come together.
- misalignment between the shadow ring and the purge ring is corrected as the surface of the pin 19 slides along the surface defined by the recess 5 or slot 6 .
- the two rings are aligned as the pins 19 are fully inserted into the recess 5 and slot 6 .
- the pin 19 and recess 5 /slot 6 coupling allows the shadow ring 4 to move with respect to the purge ring 15 due to different thermal expansions between the two rings without imposing stresses on either ring that could cause ring deformation, flaking or breakage of any of the components.
- the shadow ring 4 remains in pivotal alignment to the purge ring 15 at the location of the pin 19 and recess 5 coupling, while the pin 19 and slot 6 coupling allows the shadow ring to move slightly (i.e., restricted by the length of the slot 6 ) relative to each other due to different thermal expansions between the two rings.
- the invention provides consistent alignment of the shadow ring 4 with the purge ring 15 and the substrate. Moreover, the shadow ring 4 may be easily removed for cleaning or replacement. Down time is thereby minimized.
- FIG. 9 is a top view of a shadow ring 4 supported on a chamber body ring 200 .
- a chamber body ring 200 is secured to the internal surface 102 of the chamber body 100 .
- the chamber body ring 200 includes a plurality of recesses 202 formed in the upper portion of the internal surface 220 of the chamber body ring 200 .
- the shadow ring 4 includes a plurality of projections 10 configured to rest on the surface of the chamber body ring 200 defined by the recesses 202 .
- four projections 10 are spaced equally along the perimeter of the shadow ring 4 .
- the shadow ring 4 When not coupled to the purge ring 15 , the shadow ring 4 may be supported by the chamber body ring 200 via the projections 10 resting on the surface of the recesses 202 .
- the recesses 202 are sized to allow for thermal expansion of the shadow ring 4 , and yet keep the shadow ring 4 sufficiently aligned with the purge ring 15 so that the pins 19 stay within the capture range of the recess 5 and slot 6 .
- the sidewall surfaces of the recess 202 may also be tapered to urge a shadow ring 4 into the desired aligned position on the chamber body ring 200 .
- FIG. 10 is a side view of a chamber showing a susceptor 11 f in a processing position.
- the purge ring 15 attached to the substrate support 13 contacts and lifts the shadow ring 4 .
- the pins 19 of the shadow ring 4 are inserted into the recess 5 and slot 6 of the purge ring 15 .
- the shadow ring 4 is thereby lifted off the chamber body ring 200 , so that the projections 10 of the shadow ring 4 are lifted off the internal surface 220 of the chamber body ring 200 defined by the recesses 202 .
- the shadow ring 4 is positioned about 3 to 5 millimeters above a wafer W and overhangs a portion of the perimeter, or edge, of the wafer W, preventing deposition thereon during CVD processing.
- the substrate support 13 is initially lowered to a wafer transfer position, as shown in FIG. 7.
- a wafer handler comprising a robot blade then carries a wafer into position above the substrate support 13 .
- Lift pins (not shown) lift the wafer W off the robot blade, and the robot blade retracts.
- the substrate support 13 is elevated to position the substrate thereon, and then the substrate support 13 further elevates so that the purge ring 15 attached thereto lifts the shadow ring 4 off the chamber body ring 200 , as shown in FIG. 10.
- the pins 19 are inserted into the alignment recess 5 and alignment slot 6 .
- the tapered surfaces of the pins 19 slides along the tapered surfaces of the alignment recess 5 and alignment slot 6 , urging the shadow ring 4 into desired alignment with the purge ring 15 .
- FIG. 11 is a side view of a chamber showing a susceptor 11 g in a non-processing configuration.
- the substrate support 13 includes a ceramic susceptor and a ceramic purge ring 15 disposed thereon.
- the purge ring 15 and the shadow ring 4 include the pin and slot/recess coupling of the invention as described above.
- a chamber such as the chamber described in commonly assigned U.S. patent application Ser. No. 09/103,462, filed Jun. 24, 1998 (incorporated in its entirety), when employing the inventive susceptor of FIGS. 1 through 5, provides superior edge deposition prevention and increased throughput as compared to conventional deposition chambers (CVD, PVD, etc.).
- the inventive susceptor comprises pin and slot coupling between any type of edge ring (purge ring and/or shadow ring), whether the pins are located on the substrate support or the ring.
- edge ring purge ring and/or shadow ring
- each of the figures shows the use of thermally insulating pads these pads are optional.
- a heating element may be included in the susceptor, as is conventionally known.
- each of the purge gas delivery channels 25 of the various embodiments of the invention preferably open into a purge gas distribution channel 27 which also extends somewhat below the opening of the purge gas delivery channel 25 (as shown in each of the figures), so as to create a buffer channel which ensures more even distribution of the purge gas to the purge slots 29 .
- pin and slot are to be broadly interpreted to include shapes other than straight pins and slots 6 (e.g., rectangular keys, etc.).
- purge ring or purge ring/shadow ring can be advantageously removably coupled to a substrate support, by mechanisms other than pin and slot coupling. Any removably coupled purge ring will benefit from the exposed outlet of the purge gas delivery channel and the upwardly angled purge gas delivery channel.
- a susceptor whether or not having a removably coupled purge ring can benefit from the definition of a purge gas distribution channel having a restrictor gap between the substrate support and the purge ring.
- these aspects of the invention should not be respectively limited to pin and slot coupling or to removably coupled purge rings.
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Organic Chemistry (AREA)
- Materials Engineering (AREA)
- Metallurgy (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Crystallography & Structural Chemistry (AREA)
- Mechanical Engineering (AREA)
- Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Chemical Vapour Deposition (AREA)
- Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)
Abstract
The invention provides a removable first edge ring configured for pin and recess/slot coupling with a second edge ring disposed on the substrate support. In one embodiment, a first edge ring includes a plurality of pins, and a second edge ring includes one or more alignment recesses and one or more alignment slots for mating engagement with the pins. Each of the alignment recesses and alignment slots are at least as wide as the corresponding pins, and each of the alignment slots extends in the radial direction a length that is sufficient to compensate for the difference in thermal expansion between the first edge ring and the second edge ring.
Description
- This application is a continuation of co-pending U.S. patent application Ser. No. 09/459,313, filed Dec. 10, 1999. Each of the aforementioned related patent applications is herein incorporated by reference.
- 1. Field of the Invention
- The present invention relates to an improved susceptor which inhibits the deposition of process gasses on the edge and backside of a substrate, and which may be easily removed and cleaned.
- 2. Description of the Related Art
- Chemical vapor deposition (CVD) is one of a number of processes used to deposit thin films of material on semiconductor substrates. To process substrates using CVD, a vacuum chamber is provided with a susceptor configured to receive a substrate. In a typical CVD chamber, the substrate is placed into and removed from the chamber by a robot blade and is supported by a substrate support during processing. A precursor gas is charged into the vacuum chamber through a gas manifold plate situated above the substrate, where the substrate is heated to process temperatures, generally in the range of about 250° to 650° C. The precursor gas reacts on the heated substrate surface to deposit a thin layer thereon and to form volatile byproduct gases, which are pumped away through the chamber exhaust system.
- A primary goal of substrate processing is to obtain the largest useful surface area, and as a result the greatest number of chips, possible from each substrate. This is highlighted by the recent demands from semiconductor chip manufacturers to minimize edge exclusion on the substrates processed, so that as little of the substrate surface as possible, including the edge of the wafer, is wasted. Some important factors to consider include processing variables that affect the uniformity and thickness of the layer deposited on the substrate, and contaminants that may attach to the substrate and render all or a portion of the substrate defective or useless. Both of these factors should be controlled to maximize the useful surface area for each substrate processed.
- One source of particle contamination in the chamber is material deposited at the edge or on the backside of the substrate that flakes off or peels off during a subsequent process. Substrate edges are typically beveled, making deposition difficult to control over these surfaces. Thus, deposition at substrate edges is typically nonuniform and, where metal is deposited, tends to adhere differently to a dielectric than to silicon. If a wafer's dielectric layer does not extend to the bevel, metal may be deposited on a silicon bevel and eventually chip or flake, generating unwanted particles in the chamber. Additionally, chemical mechanical polishing is often used to smooth the surface of a substrate coated with tungsten or other metals. The act of polishing may cause any deposits on the edge and backside surfaces to flake and generate unwanted particles.
- A number of approaches have been employed to control the deposition on the edge of the substrate during processing. One approach employs a shadow ring which essentially masks a portion of the perimeter of the substrate from the process gasses. One disadvantage with the shadow ring approach is that, by masking a portion of the substrate's perimeter, the shadow ring reduces the overall useful surface area of the substrate. This problem is made worse if the shadow ring is not accurately aligned with the substrate, and alignment can be difficult to achieve.
- Another approach employs a purge ring near the edge of the substrate for delivering a purge gas along the substrate's edge to prevent edge deposition. The purge gas limits or prevents the deposition gas from reaching the substrate and thus limits or prevents deposition on the wafer's beveled edge. A third approach uses a shutter ring and a purge ring in combination to form a purge gas chamber having a purge gas inlet and outlet adjacent the substrate's edge so as to guide the purge gas across the wafer's edge.
- A wafer typically sits inside (radially) the purge ring, with a gap therebetween. Conventionally, purge rings are made of aluminum and are welded to the substrate support in an effort to prevent the ring from deforming during processing. However, during the thermal cycling which occurs within a CVD processing chamber, the aluminum rings nonetheless deform, losing the integrity of their shape and therefore compromise their ability to keep particles from depositing on the substrate's edge. This can change the size of the gap, leading to non-uniformity of deposition across the wafer's edge. As the aluminum rings expand and contract, material thereon can flake, and create particles which can contaminate the wafer.
- Further, in order for the rings to work effectively for shadowing and/or for purging, they must be frequently cleaned to remove deposition material which can alter the gap or flake off and contaminate the wafer. Such cleaning increases chamber downtime, reduces throughput and results in higher operating costs.
- Accordingly a need exists for an improved susceptor which can reliably prevent edge deposition, and which can be easily cleaned.
- In one aspect, the present invention overcomes the problems of the prior art by providing a substrate support having a removable edge ring, which may be made of a material having a lower coefficient of thermal expansion (CTE) than that of the substrate support. The edge ring may be a shadow ring, a purge ring, or function as both edge ring and shadow ring. The edge ring and the substrate support are configured for pin and slot coupling. In one aspect, either the edge ring or the substrate support includes a plurality of pins, and the other of the edge ring or the substrate support includes a plurality of alignment slots in which the pins may be inserted. Each of the slots is at least as wide as a corresponding one of the plurality of pins and extends in the radial direction. a length that is sufficient to compensate for the difference in thermal expansion between the substrate support and the edge ring.
- In another aspect, the invention provides a removable first edge ring positioned above the substrate support and configured for pin and slot coupling with a second edge ring attached to the substrate support. Preferably, either the first edge ring or the second edge ring includes a plurality of pins, and the other of the first edge ring or second edge ring includes one or more alignment recesses and one or more alignment slots. The pins are inserted into the alignment recesses and alignment slots to couple the two edge rings in alignment. Each of the alignment recesses and alignment slots are at least as wide as the corresponding one of the plurality of pins, and each of the alignment slots extends in the radial direction a length that is sufficient to compensate for the difference in thermal expansion between the first edge ring and the second edge ring.
- Other objects, features and advantages of the present invention will become more fully apparent from the following detailed description of the preferred embodiments, the appended claims and the accompanying drawings.
- So that the manner in which the above recited features of the present invention can be understood in detail, a more particular description of the invention, briefly summarized above, may be had by reference to embodiments, some of which are illustrated in the appended drawings. It is to be noted, however, that the appended drawings illustrate only typical embodiments of this invention and are therefore not to be considered limiting of its scope, for the invention may admit to other equally effective embodiments.
- FIG. 1 is an exploded perspective view of a susceptor of the invention;
- FIG. 2 is a side view, in pertinent part, of a susceptor of the invention;
- FIG. 3 is a side view, in pertinent part, of a susceptor of the invention;
- FIG. 4 is a side view in pertinent part of a susceptor of the invention;
- FIGS. 5A and 5B are side views in pertinent part of a susceptor of the invention;
- FIG. 6 is a side view in pertinent part of a susceptor of the invention;
- FIG. 7 is a side view of a chamber showing a susceptor of the invention in a non-processing position.
- FIG. 8 is a top view of a shadow ring of the invention;
- FIG. 9 is a top view of a shadow ring supported on a chamber body ring of the invention;
- FIG. 10 is a side view of a chamber showing a susceptor of the invention in a processing position; and
- FIG. 11 is a side view of a chamber showing a susceptor of the invention.
- FIG. 1 is an exploded perspective view of a susceptor11 a. The susceptor 11 a comprises a
substrate support 13, adapted for pin and slot coupling with an edge ring, such aspurge ring 15. Specifically, thesubstrate support 13 comprises threepins 19 a-c which extend upwardly from the top surface ofsubstrate support 13. The bottom surface of thepurge ring 15 comprises threealignment slots 17 a-c positioned to interface with the threepins 19 a-c. Thesubstrate support 13 comprises a centralwafer supporting surface 13 a, and the threepins 19 a-c are disposed substantially equally spaced around thesubstrate supporting surface 13 a. Each of theslots 17 a-c is at least as wide as the correspondingpin 19 a-c, and extends radially outward from the center of thesubstrate supporting surface 13 a, in the direction in which thesubstrate support 13 expands and contracts during thermal cycling. - The
substrate support 13 is preferably made of a metal such as aluminum, as is conventional. Thepurge ring 15 is generally made of a material having a lower coefficient of thermal expansion (CTE) than the CTE of thesubstrate support 13 material. Preferably thepurge ring 15 is made of a ceramic material. Theslots 17 a-c extend a length which is sufficient to compensate for the difference in thermal expansion between thesubstrate support 13 and thepurge ring 15, over the range of process temperatures to which thesusceptor 11 a is exposed. This difference in thermal expansion may be due to the different CTE of thepurge ring 15 material and thesubstrate support 13 material. Preferably eachpin 19 a-c is surrounded by apad 21 made of a thermally insulating material, so as to achieve thermal insulation between thesubstrate support 13 and thepurge ring 15, as further described below with reference to FIG. 2. Thepads 21 are preferably made of a highly polished ceramic and therefore allow thepurge ring 15 to slide easily therealong while minimizing particle generation. Thepurge ring 15 may further include a plurality of wafer guide pins 23 to facilitate accurate wafer placement, as is disclosed in U.S. patent application Ser. No. 09/103,462 filed Jun. 24, 1998 (incorporated herein in its entirety). - FIG. 2 is a side view, in pertinent part, of a susceptor11 a, having a wafer W positioned thereon. As shown in FIG. 2 the
substrate support 13, thepurge ring 15 and theslots 17 a-c are configured such that with use of thepad 21, no direct contact exists between thesubstrate support 13 and thepurge ring 15. By thermally insulating thepurge ring 15 from themetal substrate support 13, thepurge ring 15 experiences less thermal stress then would otherwise result if thepurge ring 15 were to directly contact the typically highertemperature substrate support 13. Also as shown in FIG. 2, theslot 17 a has a depth greater than the length of thepin 19 a to reduce thermal conduction from thesubstrate support 13 to thepurge ring 15, via thepin 19 a. - The
slots 17 a-c extend radially outward relative to the center of thesubstrate support 13 and preferably are each just slightly wider than therespective pin 19 a-c. This prevents thepurge ring 15 movement laterally relative to the substrate support occuring as a result of thermal cycling induced expansion and contraction from being more than the maximum distance allowing clearance between theslot 17 a and thepin 19 a pair. Thepins 19 a-c also restrict rotational movement of thepurge ring 15 relative to thesubstrate support 13, thereby providing rotational alignment. - The
substrate support 13 comprises a purgegas delivery channel 25 and adiffuser ring 13 b which couples purge gas from the purgegas delivery channel 25 through a purgegas distribution channel 27 defined by an inner edge of thediffuser ring 13 b and an outer edge of thesubstrate support 13, and then through a plurality of small orifices O formed in thediffuser ring 13 b to a lower edge of thepurge ring 15. - In operation the wafer W is positioned on the
wafer supporting surface 13 a such that the edge of the wafer W is positioned adjacent the outlet of thepurge slot 29. In this manner as purge gas flows upwardly through thepurge slot 29 along the edge of the wafer W, deposition on the wafer's edge is prevented. During a deposition process, the susceptor 11 a is typically heated to a temperature in the range of 350° to 475° C., typically by a heating coil embedded in or contacted with the underside of, the susceptor 11 a. However, for chamber maintenance or cleaning, the susceptor 11 a is typically allowed to cool back to ambient temperatures. - This temperature change causes thermal expansion and contraction of the chamber elements, including the
substrate support 13 and thepurge ring 15. Despite thermal cycling which occurs during CVD processing, and the resulting expansion and contraction of thesubstrate support 13 and thediffuser ring 13 b, thermally induced stresses are not imposed upon thepurge ring 15, as it (and thepins 19 a-c supporting it) can move radially as the temperature changes, due to thepin 19 a-c and slot 17 a-c coupling. Any thermally induced expansion of the gap between thepurge ring 15 and the wafer W is insignificant. Accordingly edge deposition is more uniformly and reliably prevented. Moreover, thepurge ring 15 may be easily lifted off thepins 19 a-c for routine cleaning or replacement. Accordingly downtime is minimized. - FIG. 3 is a side view, in pertinent part, of a
susceptor 11 b. Theinventive susceptor 11 b of FIG. 3 is similar to the susceptor 11 a of FIG. 2, except thesubstrate support 13 of FIG. 2 does not comprise thediffuser ring 13 b. Instead, the purgegas delivery channel 25 delivers purge gas to a purgegas distribution channel 27 which is defined by an inner edge of thepurge ring 15 and an outer edge of thesubstrate support 13, as is the more narrowly definedpurge gas slot 29. The embodiment of FIG. 3 requires fewer parts, and replaces the orifices O (of FIG. 1) with a restrictor gap R. The restrictor gap R is formed by a horizontal notch in thesubstrate support 13 and a corresponding horizontal protrusion in thepurge ring 15. The size of the restrictor gap R is determined by the respective vertical dimensions of thesubstrate support 13 and thepurge ring 15 to the horizontal notch or protrusion, and by the thickness of thepad 21. The embodiment of FIG. 3 reduces clogging because the restrictor gap R which expands radially around thesubstrate support 13 in a continuum is less likely to clog than are the plurality of orifices. By reducing the number of parts, the FIG. 3 embodiment also reduces the probability of differential expansion therebetween and the resultant particle generation. Note that, like the FIGS. 1 and 2 embodiment, thepurge ring 15 rests on theinsulator pads 21 and is aligned by thepins 19. - FIG. 4 is a side view, in pertinent part, of a
susceptor 11 c. As shown in FIG. 4, thepurge ring 15 of theinventive susceptor 11 c has a plurality of pins 19 (only one shown) which extend downward from the bottom surface of thepurge ring 15. Thepins 19 are pressed into thepurge ring 15 and thepads 21 are secured to thepins 19 in the same manner, or maybe integral to thepins 19. In operation, eachpin 19 is inserted within a correspondingslot 17 located on thesubstrate support 13. In this example theslots 17 are formed in thediffuser ring portion 13 b of thesubstrate support 13. Thus, FIG. 4 shows that the positions of thepins 19 and theslots 17 may be switched, and still achieve the advantages of pin and slot coupling. - FIGS. 5A and 5B are side views, in pertinent part, of a
susceptor 11 d. Thepurge ring 15 a of FIGS. 5A and 5B is configured such that theinner edge 15 a overhangs the edge of the wafer W. Thus, thepurge ring 15 a functions as both apurge ring 15 a and a shadow ring 4 (overhanging or shadowing the wafer's edge). The pin and slot coupling of FIGS. 5A and 5B allows thesubstrate support 13 to expand and contract without affecting the shape or position of thepurge ring 15 a, as described above with reference to FIGS. 2 and 3. FIG. 5A shows thepurge ring 15 a in a process position, and FIG. 5B shows thepurge ring 15 a in a wafer W transfer position. Because shadow rings 4 overlap the wafer's edge, they are conventionally supported in a wafer W transfer position above the substrate support 13 (e.g., by a hanger or lip which protrudes from the chamber wall) while a wafer W is placed on or extracted from thesubstrate support 13. After a wafer W is placed on thesubstrate support 13, thesubstrate support 13 elevates and engages the bottom of theshadow ring 4, transferring theshadow ring 4 from the lip to thesubstrate support 13 as further described below. - Conventional substrate supports13, whether to be used with a
purge ring 15 and/orshadow ring 4, are initially lowered to a wafer W transfer position. A wafer handler then carries a wafer W into position above thesubstrate support 13 and the lift pins (not shown) lift the wafer W off the wafer handler. Thereafter, the wafer handler retracts, and thesubstrate support 13 is further elevated to engage theshadow ring 4. - FIG. 6 is a side view in pertinent part of a susceptor11 e. The
inventive susceptor 11 e is configured to facilitate access to the purgegas distribution channel 25 for cleaning. Specifically, the surface of thesubstrate support 13 in which the pin 19 (or in an alternative embodiment, the slot 17) is located, is below the outlet of the purgegas distribution channel 25. Thus, when thepurge ring 15 and/orshadow ring 4 is removed from thesubstrate support 13, the gas distribution channel's outlet is exposed. To further facilitate cleaning, the purgegas distribution channel 25 may be angled upwardly (preferably between 0° and 30° ), as shown in FIG. 6. - FIG. 7 is a side view of a chamber showing a
susceptor 11 f of the invention in a lowered non-processing position. Thesusceptor 11 f comprises a removable first edge ring, such as ashadow ring 4, supported by achamber body ring 200 disposed on theinternal surface 102 of theprocessing chamber body 100 above thesubstrate support 13 and a second ring, such as apurge ring 15, disposed on thesubstrate support 13. Thepurge ring 15 may be attached to thesubstrate support 13 as described above relating to FIGS. 1-6. The substrate support may be made of various materials, such as aluminum and ceramic, and may include a heating element, such as a resistive heating coil. Theshadow ring 4 comprises a plurality of tapered or frustoconically shaped pins 19 (two shown), equally spaced around the perimeter of theshadow ring 4 and extending downwardly therefrom. Thepurge ring 15 includes at least one tapered or frustoconically shapedalignment recess 5 and at least one tapered or frustoconically shapedalignment slot 6 formed therein. Although the invention is shown and described with a shadow ring having pins thereon and a purge ring having recess/slot thereon, it is understood that invention contemplates embodiments wherein the pin and recess/slot coupling may be disposed on either the shadow ring or the purge ring. The invention also contemplates embodiments wherein either the pins or the recesses/slots include tapered surfaces. - The
pins 19 are positioned to interface with thealignment recess 5 and thealignment slot 6. Thealignment recess 5 and thealignment slot 6 are at least as wide as a corresponding one of the plurality ofpins 19. In one aspect, the width is defined as the dimension perpendicular to the radial direction, relative to the center of thepurge ring 15. Referring to FIG. 8, which is a top view of apurge ring 15 of the invention showing thealignment recess 5 and thealignment slot 6, line 800 represents the radial direction relative to the center of thepurge ring 15, andline 802 represents the direction perpendicular to the radial direction relative to the center of thepurge ring 15. The width of thealignment slot 6, being the dimension perpendicular to the radial direction relative to the center of thepurge ring 15, is shown bysegment 804. The radial dimension of thealignment slot 6 is shown bysegment 806. Thealignment slot 6 extends in a radial direction, relative to the center of thepurge ring 15, a length that is sufficient to compensate for any difference in thermal expansion between thepurge ring 15 and theshadow ring 4. The radial dimension (i.e., length) of thealignment slot 6 is up to about sixty mils greater, preferably up to about forty mils greater, than the radial dimension of thecorresponding pin 19. The width of thealignment recess 5 andalignment slot 6 is between about three mils and about ten mils wider, preferably between about three mils and about eight mils wider, than the width of thecorresponding pin 19. The coupling of thepins 19 with thealignment recess 5 and thealignment slot 6 restricts movement of theshadow ring 4 caused by thermal cycling induced expansion and contraction or other causes to less than the length of thealignment slot 6. Thepins 19 also restrict rotational movement of theshadow ring 4 relative to thepurge ring 15, thereby providing rotational alignment. - The
pins 19 as shown in FIG. 7 preferably have a frustoconical shape, tapering from a base portion to a top portion. Thealignment recess 5 and thealignment slot 6 have matching tapering sidewalls forming a wider opening portion and a narrower bottom portion for receiving the tapered pins 19. This configuration allows for and corrects gross misalignment between the two rings because the narrower tip portion of thepins 19 can be inserted into the wider opening portion of therecess 5 andslot 6 with a greater margin of misalignment. Thus, with frustroconically shaped or taperedpins 19 instead of non-tapering (i.e., cylindrical) pins,recess 5, andslot 6, misalignment of theshadow ring 4 with thepurge ring 15, due to thermal expansion or other causes can be corrected when thepins 19 are inserted into therecess 5 andslot 6 when the rings come together. As thepins 19 are inserted into therecess 5 andslot 6, misalignment between the shadow ring and the purge ring is corrected as the surface of thepin 19 slides along the surface defined by therecess 5 orslot 6. The two rings are aligned as thepins 19 are fully inserted into therecess 5 andslot 6. - The
pin 19 andrecess 5/slot 6 coupling allows theshadow ring 4 to move with respect to thepurge ring 15 due to different thermal expansions between the two rings without imposing stresses on either ring that could cause ring deformation, flaking or breakage of any of the components. Theshadow ring 4 remains in pivotal alignment to thepurge ring 15 at the location of thepin 19 andrecess 5 coupling, while thepin 19 andslot 6 coupling allows the shadow ring to move slightly (i.e., restricted by the length of the slot 6) relative to each other due to different thermal expansions between the two rings. The invention provides consistent alignment of theshadow ring 4 with thepurge ring 15 and the substrate. Moreover, theshadow ring 4 may be easily removed for cleaning or replacement. Down time is thereby minimized. - FIG. 9 is a top view of a
shadow ring 4 supported on achamber body ring 200. Achamber body ring 200 is secured to theinternal surface 102 of thechamber body 100. Thechamber body ring 200 includes a plurality ofrecesses 202 formed in the upper portion of theinternal surface 220 of thechamber body ring 200. Theshadow ring 4 includes a plurality ofprojections 10 configured to rest on the surface of thechamber body ring 200 defined by therecesses 202. Preferably, fourprojections 10 are spaced equally along the perimeter of theshadow ring 4. When not coupled to thepurge ring 15, theshadow ring 4 may be supported by thechamber body ring 200 via theprojections 10 resting on the surface of therecesses 202. Therecesses 202 are sized to allow for thermal expansion of theshadow ring 4, and yet keep theshadow ring 4 sufficiently aligned with thepurge ring 15 so that thepins 19 stay within the capture range of therecess 5 andslot 6. The sidewall surfaces of therecess 202 may also be tapered to urge ashadow ring 4 into the desired aligned position on thechamber body ring 200. - FIG. 10 is a side view of a chamber showing a
susceptor 11 f in a processing position. As shown, thepurge ring 15 attached to thesubstrate support 13 contacts and lifts theshadow ring 4. Thepins 19 of theshadow ring 4 are inserted into therecess 5 andslot 6 of thepurge ring 15. Theshadow ring 4 is thereby lifted off thechamber body ring 200, so that theprojections 10 of theshadow ring 4 are lifted off theinternal surface 220 of thechamber body ring 200 defined by therecesses 202. In this configuration, theshadow ring 4 is positioned about 3 to 5 millimeters above a wafer W and overhangs a portion of the perimeter, or edge, of the wafer W, preventing deposition thereon during CVD processing. - In operation, the
substrate support 13 is initially lowered to a wafer transfer position, as shown in FIG. 7. A wafer handler comprising a robot blade then carries a wafer into position above thesubstrate support 13. Lift pins (not shown) lift the wafer W off the robot blade, and the robot blade retracts. Thesubstrate support 13 is elevated to position the substrate thereon, and then thesubstrate support 13 further elevates so that thepurge ring 15 attached thereto lifts theshadow ring 4 off thechamber body ring 200, as shown in FIG. 10. As thepurge ring 15 engages theshadow ring 4, thepins 19 are inserted into thealignment recess 5 andalignment slot 6. The tapered surfaces of thepins 19 slides along the tapered surfaces of thealignment recess 5 andalignment slot 6, urging theshadow ring 4 into desired alignment with thepurge ring 15. - FIG. 11 is a side view of a chamber showing a susceptor11 g in a non-processing configuration. In this aspect of the invention, the
substrate support 13 includes a ceramic susceptor and aceramic purge ring 15 disposed thereon. Thepurge ring 15 and theshadow ring 4 include the pin and slot/recess coupling of the invention as described above. - As is apparent from the above description, a chamber such as the chamber described in commonly assigned U.S. patent application Ser. No. 09/103,462, filed Jun. 24, 1998 (incorporated in its entirety), when employing the inventive susceptor of FIGS. 1 through 5, provides superior edge deposition prevention and increased throughput as compared to conventional deposition chambers (CVD, PVD, etc.).
- The foregoing description discloses only the preferred embodiments of the invention, modifications of the above disclosed apparatus and method which fall within the scope of the invention will be readily apparent to those of ordinary skill in the art. For instance, the inventive susceptor comprises pin and slot coupling between any type of edge ring (purge ring and/or shadow ring), whether the pins are located on the substrate support or the ring. Although each of the figures shows the use of thermally insulating pads these pads are optional. Further, it will be understood that a heating element may be included in the susceptor, as is conventionally known. Also as conventionally known, each of the purge
gas delivery channels 25 of the various embodiments of the invention preferably open into a purgegas distribution channel 27 which also extends somewhat below the opening of the purge gas delivery channel 25 (as shown in each of the figures), so as to create a buffer channel which ensures more even distribution of the purge gas to thepurge slots 29. - The terms pin and slot are to be broadly interpreted to include shapes other than straight pins and slots6 (e.g., rectangular keys, etc.). Further, purge ring or purge ring/shadow ring can be advantageously removably coupled to a substrate support, by mechanisms other than pin and slot coupling. Any removably coupled purge ring will benefit from the exposed outlet of the purge gas delivery channel and the upwardly angled purge gas delivery channel. Similarly a susceptor whether or not having a removably coupled purge ring, can benefit from the definition of a purge gas distribution channel having a restrictor gap between the substrate support and the purge ring. Thus, these aspects of the invention should not be respectively limited to pin and slot coupling or to removably coupled purge rings.
- While the present invention has been disclosed in connection with the preferred embodiments thereof, it should be understood that other embodiments may fall within the spirit and scope of the invention, as defined by the following claims.
Claims (20)
1. An apparatus comprising:
a) a substrate support;
b) a first edge ring disposed on the substrate support, the first edge ring having one or more tapered recesses; and
c) a second edge ring having one or more matching tapered pins for mating engagement with the one or more tapered recesses of the first edge ring.
2. The apparatus of claim 1 wherein the first edge ring includes one or more slots disposed for mating engagement with the one or more tapered pins on the second edge ring.
3. The apparatus of claim 1 wherein the first edge ring comprises a purge ring.
4. The apparatus of claim 1 wherein the second edge ring comprises a shadow ring.
5. The apparatus of claim 1 wherein the first edge ring includes one tapered recess and one diametrically positioned tapered slot, and wherein the second edge ring includes two tapered pins diametrically positioned for mating engagement with the recess and the slot.
6. The apparatus of claim 1 wherein the substrate support comprises a purge gas channel, and the first edge ring comprises a purge ring.
7. An apparatus for processing substrates, comprising:
a) a chamber;
b) a substrate support disposed in the chamber;
c) a first edge ring disposed on the substrate support, the first edge ring having one or more tapered recesses; and
d) a second edge ring having one or more matching tapered pins for mating engagement with the one or more tapered recesses of the first edge ring.
8. The apparatus of claim 7 , further comprising:
e) a chamber body ring disposed on an interior surface of the chamber, the chamber body ring having one or more recesses for supporting engagement with the second edge ring.
9. The apparatus of claim 8 wherein the first edge ring includes one or more slots disposed for mating engagement with the one or more tapered pins on the second edge ring.
10. The apparatus of claim 8 wherein the first edge ring comprises a purge ring.
11. The apparatus of claim 8 wherein the second edge ring comprises a shadow ring.
12. The apparatus of claim 8 wherein the first edge ring includes one tapered recess and one diametrically positioned tapered slot, and wherein the second edge ring includes two tapered pins diametrically positioned for mating engagement with the recess and the slot.
13. The apparatus of claim 8 wherein the substrate support comprises a purge gas channel, and the first edge ring comprises a purge ring.
14. The apparatus of claim 8 wherein the one or more recesses on the chamber body ring include tapered side surfaces.
15. A method for supporting a substrate in a chamber, comprising:
a) positioning the substrate on a substrate support having a first edge ring disposed around a substrate supporting surface, the first edge ring having one or more recesses; and
b) positioning a second edge ring above the first edge ring, wherein the second edge ring include one or more pins for mating engagement with the one or more recesses on the first edge ring.
16. The method of claim 15 wherein the first edge ring includes one or more slots disposed for mating engagement with the one or more tapered pins on the second edge ring.
17. The method of claim 15 wherein the first edge ring comprises a purge ring.
18. The method of claim 15 wherein the second edge ring comprises a shadow ring.
19. The method of claim 15 wherein the first edge ring includes one tapered recess and one diametrically positioned tapered slot, and wherein the second edge ring includes two tapered pins diametrically positioned for mating engagement with the recess and the slot.
20. The method of claim 15 , further comprising:
c) flowing a purge gas around the substrate during substrate processing.
Priority Applications (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US10/614,992 US20040003780A1 (en) | 1999-12-10 | 2003-07-07 | Self aligning non contact shadow ring process kit |
US11/870,285 US8342119B2 (en) | 1999-12-10 | 2007-10-10 | Self aligning non contact shadow ring process kit |
Applications Claiming Priority (2)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US09/459,313 US6589352B1 (en) | 1999-12-10 | 1999-12-10 | Self aligning non contact shadow ring process kit |
US10/614,992 US20040003780A1 (en) | 1999-12-10 | 2003-07-07 | Self aligning non contact shadow ring process kit |
Related Parent Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/459,313 Continuation US6589352B1 (en) | 1999-12-10 | 1999-12-10 | Self aligning non contact shadow ring process kit |
Related Child Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/870,285 Continuation US8342119B2 (en) | 1999-12-10 | 2007-10-10 | Self aligning non contact shadow ring process kit |
Publications (1)
Publication Number | Publication Date |
---|---|
US20040003780A1 true US20040003780A1 (en) | 2004-01-08 |
Family
ID=23824271
Family Applications (3)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/459,313 Expired - Lifetime US6589352B1 (en) | 1999-12-10 | 1999-12-10 | Self aligning non contact shadow ring process kit |
US10/614,992 Abandoned US20040003780A1 (en) | 1999-12-10 | 2003-07-07 | Self aligning non contact shadow ring process kit |
US11/870,285 Expired - Fee Related US8342119B2 (en) | 1999-12-10 | 2007-10-10 | Self aligning non contact shadow ring process kit |
Family Applications Before (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US09/459,313 Expired - Lifetime US6589352B1 (en) | 1999-12-10 | 1999-12-10 | Self aligning non contact shadow ring process kit |
Family Applications After (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
US11/870,285 Expired - Fee Related US8342119B2 (en) | 1999-12-10 | 2007-10-10 | Self aligning non contact shadow ring process kit |
Country Status (6)
Country | Link |
---|---|
US (3) | US6589352B1 (en) |
EP (1) | EP1106715A1 (en) |
JP (1) | JP4669606B2 (en) |
KR (1) | KR20010062301A (en) |
SG (1) | SG90764A1 (en) |
TW (1) | TW490715B (en) |
Cited By (27)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040046096A1 (en) * | 2002-09-11 | 2004-03-11 | Au Optronics Corp. | Support apparatus |
US20050105513A1 (en) * | 2002-10-27 | 2005-05-19 | Alan Sullivan | Systems and methods for direction of communication traffic |
US20060000802A1 (en) * | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US20060000805A1 (en) * | 2004-06-30 | 2006-01-05 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060140182A1 (en) * | 2004-12-23 | 2006-06-29 | Michael Sullivan | Systems and methods for monitoring and controlling communication traffic |
US20070038729A1 (en) * | 2003-05-05 | 2007-02-15 | Sullivan Alan T | Systems and methods for direction of communication traffic |
US20080099426A1 (en) * | 2006-10-30 | 2008-05-01 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US20080099431A1 (en) * | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20090266299A1 (en) * | 2008-04-24 | 2009-10-29 | Applied Materials, Inc. | Low profile process kit |
US20110048034A1 (en) * | 2004-04-16 | 2011-03-03 | Tokyo Electron Limited | Processing equipment for object to be processed |
US20110051115A1 (en) * | 2009-08-25 | 2011-03-03 | Canon Anelva Corporation | Substrate Holding Apparatus, Mask Alignment Method, and Vacuum Processing Apparatus |
US20110159211A1 (en) * | 2009-12-31 | 2011-06-30 | Applied Materials, Inc. | Shadow ring for modifying wafer edge and bevel deposition |
US20120003388A1 (en) * | 2010-07-02 | 2012-01-05 | Applied Materials, Inc. | Methods and apparatus for thermal based substrate processing with variable temperature capability |
US20140137800A1 (en) * | 2012-11-22 | 2014-05-22 | Toyoda Gosei Co., Ltd. | Device for producing compound semiconductor and wafer retainer |
CN104733344A (en) * | 2013-12-18 | 2015-06-24 | 北京北方微电子基地设备工艺研究中心有限责任公司 | Edge protection device and plasma processing equipment |
US20160196995A1 (en) * | 2015-01-05 | 2016-07-07 | Kabushiki Kaisha Toshiba | Semiconductor manufacturing apparatus and semiconductor manufacturing method |
US20160307742A1 (en) * | 2015-04-17 | 2016-10-20 | Applied Materials, Inc. | Edge ring for bevel polymer reduction |
WO2018094024A1 (en) * | 2016-11-19 | 2018-05-24 | Applied Materials, Inc. | Process kit having a floating shadow ring |
WO2018236201A1 (en) * | 2017-06-23 | 2018-12-27 | 주성엔지니어링(주) | Substrate supporting apparatus |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
CN110060941A (en) * | 2014-12-19 | 2019-07-26 | 朗姆研究公司 | Reduce the backside deposition in Waffer edge |
WO2019168616A1 (en) * | 2018-03-01 | 2019-09-06 | Varian Semiconductor Equipment Associates, Inc. | Substrate halo arrangement for improved process uniformity |
CN110506326A (en) * | 2017-07-24 | 2019-11-26 | 朗姆研究公司 | Removable edge ring design |
WO2023023023A1 (en) * | 2021-08-19 | 2023-02-23 | Applied Materials, Inc. | Purge ring for pedestal assembly |
WO2024015519A1 (en) * | 2022-07-14 | 2024-01-18 | Applied Materials, Inc. | Purge ring for reduced substrate backside deposition |
US20240084453A1 (en) * | 2021-05-21 | 2024-03-14 | Beijing Naura Microelectronics Equipment Co., Ltd. | Carrier device and semiconductor processing equipment |
US12106943B2 (en) | 2021-05-25 | 2024-10-01 | Applied Materials, Inc. | Substrate halo arrangement for improved process uniformity |
Families Citing this family (404)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
JP4331901B2 (en) * | 2001-03-30 | 2009-09-16 | 日本碍子株式会社 | Ceramic susceptor support structure |
US7582186B2 (en) * | 2002-12-20 | 2009-09-01 | Tokyo Electron Limited | Method and apparatus for an improved focus ring in a plasma processing system |
JP4991286B2 (en) | 2003-03-21 | 2012-08-01 | 東京エレクトロン株式会社 | Method and apparatus for reducing substrate backside deposition during processing. |
US20040194885A1 (en) * | 2003-04-04 | 2004-10-07 | Stacey David A. | Degas chamber particle shield |
US7024105B2 (en) * | 2003-10-10 | 2006-04-04 | Applied Materials Inc. | Substrate heater assembly |
US7128806B2 (en) * | 2003-10-21 | 2006-10-31 | Applied Materials, Inc. | Mask etch processing apparatus |
US7244336B2 (en) * | 2003-12-17 | 2007-07-17 | Lam Research Corporation | Temperature controlled hot edge ring assembly for reducing plasma reactor etch rate drift |
US20070065597A1 (en) * | 2005-09-15 | 2007-03-22 | Asm Japan K.K. | Plasma CVD film formation apparatus provided with mask |
JP5179482B2 (en) * | 2007-05-09 | 2013-04-10 | 株式会社アルバック | Purge gas assembly |
US20080289766A1 (en) * | 2007-05-22 | 2008-11-27 | Samsung Austin Semiconductor Lp | Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup |
US7879250B2 (en) | 2007-09-05 | 2011-02-01 | Applied Materials, Inc. | Method of processing a workpiece in a plasma reactor with independent wafer edge process gas injection |
KR101437522B1 (en) * | 2007-09-05 | 2014-09-03 | 어플라이드 머티어리얼스, 인코포레이티드 | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
US7832354B2 (en) * | 2007-09-05 | 2010-11-16 | Applied Materials, Inc. | Cathode liner with wafer edge gas injection in a plasma reactor chamber |
JP2009147171A (en) * | 2007-12-14 | 2009-07-02 | Tokyo Electron Ltd | Plasma processing apparatus |
US8999106B2 (en) * | 2007-12-19 | 2015-04-07 | Applied Materials, Inc. | Apparatus and method for controlling edge performance in an inductively coupled plasma chamber |
US7754518B2 (en) * | 2008-02-15 | 2010-07-13 | Applied Materials, Inc. | Millisecond annealing (DSA) edge protection |
JP5762281B2 (en) * | 2008-05-02 | 2015-08-12 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | RF physical vapor deposition processing kit |
JP2009277720A (en) * | 2008-05-12 | 2009-11-26 | Nec Electronics Corp | Method of manufacturing semiconductor device and etching device |
US8251009B2 (en) * | 2008-05-14 | 2012-08-28 | Applied Materials, Inc. | Shadow frame having alignment inserts |
US8801857B2 (en) * | 2008-10-31 | 2014-08-12 | Asm America, Inc. | Self-centering susceptor ring assembly |
US9394608B2 (en) | 2009-04-06 | 2016-07-19 | Asm America, Inc. | Semiconductor processing reactor and components thereof |
US8802201B2 (en) | 2009-08-14 | 2014-08-12 | Asm America, Inc. | Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species |
US9171702B2 (en) * | 2010-06-30 | 2015-10-27 | Lam Research Corporation | Consumable isolation ring for movable substrate support assembly of a plasma processing chamber |
KR101896491B1 (en) * | 2011-03-29 | 2018-09-07 | 도쿄엘렉트론가부시키가이샤 | Plasma etching device, and plasma etching method |
DE102011007682A1 (en) * | 2011-04-19 | 2012-10-25 | Siltronic Ag | Susceptor for supporting a semiconductor wafer and method for depositing a layer on a front side of a semiconductor wafer |
US9312155B2 (en) | 2011-06-06 | 2016-04-12 | Asm Japan K.K. | High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules |
US10854498B2 (en) | 2011-07-15 | 2020-12-01 | Asm Ip Holding B.V. | Wafer-supporting device and method for producing same |
US20130023129A1 (en) | 2011-07-20 | 2013-01-24 | Asm America, Inc. | Pressure transmitter for a semiconductor processing environment |
US9679783B2 (en) | 2011-08-11 | 2017-06-13 | Taiwan Semiconductor Manufacturing Company, Ltd. | Molding wafer chamber |
CN104080337B (en) | 2011-09-08 | 2016-08-31 | 诺维信生物农业公司 | Seed treatment methods and compositions |
ES2970761T3 (en) | 2011-09-14 | 2024-05-30 | Novozymes Bioag As | Use of lipochitooligosaccharides and/or chitooligosaccharides in combination with phosphate-solubilizing microorganisms to enhance plant growth |
US8946119B2 (en) | 2011-09-23 | 2015-02-03 | Novozymes Bioag A/S | Chitooligosaccharides and methods for use in enhancing soybean growth |
BR112014006912A2 (en) | 2011-09-23 | 2017-04-11 | Novozymes Bioag As | method for enhancing corn plant growth |
MX351416B (en) | 2011-09-23 | 2017-10-13 | Novozymes Bioag As | Chitooligosaccharides and methods for use in enhancing plant growth. |
UA113743C2 (en) | 2011-09-23 | 2017-03-10 | COMBINATIONS OF LIPOCHITOLOGOSACCHARIDES AND METHODS FOR APPLICATION IN PROMOTING PLANT GROWTH | |
US9017481B1 (en) | 2011-10-28 | 2015-04-28 | Asm America, Inc. | Process feed management for semiconductor substrate processing |
US20140060739A1 (en) * | 2012-08-31 | 2014-03-06 | Rajinder Dhindsa | Rf ground return in plasma processing systems and methods therefor |
US10714315B2 (en) | 2012-10-12 | 2020-07-14 | Asm Ip Holdings B.V. | Semiconductor reaction chamber showerhead |
KR200483130Y1 (en) * | 2012-10-20 | 2017-04-18 | 어플라이드 머티어리얼스, 인코포레이티드 | Segmented focus ring assembly |
US20160376700A1 (en) | 2013-02-01 | 2016-12-29 | Asm Ip Holding B.V. | System for treatment of deposition reactor |
CN104064490A (en) * | 2013-03-22 | 2014-09-24 | 株式会社东芝 | Semiconductor manufacturing apparatus and semiconductor wafer holder |
CA2908897C (en) * | 2013-04-08 | 2023-03-14 | Oerlikon Surface Solutions Ag, Trubbach | Centering of a plate in a holder both at room temperatures and at higher temperatures |
WO2015084487A1 (en) * | 2013-12-06 | 2015-06-11 | Applied Materials, Inc. | Apparatus for self centering preheat member |
CN104711542B (en) * | 2013-12-12 | 2018-01-02 | 圆益Ips股份有限公司 | Baseplate support device and substrate board treatment |
US10804081B2 (en) * | 2013-12-20 | 2020-10-13 | Lam Research Corporation | Edge ring dimensioned to extend lifetime of elastomer seal in a plasma processing chamber |
US9236284B2 (en) | 2014-01-31 | 2016-01-12 | Applied Materials, Inc. | Cooled tape frame lift and low contact shadow ring for plasma heat isolation |
US10683571B2 (en) | 2014-02-25 | 2020-06-16 | Asm Ip Holding B.V. | Gas supply manifold and method of supplying gases to chamber using same |
US10167557B2 (en) | 2014-03-18 | 2019-01-01 | Asm Ip Holding B.V. | Gas distribution system, reactor including the system, and methods of using the same |
US11015245B2 (en) | 2014-03-19 | 2021-05-25 | Asm Ip Holding B.V. | Gas-phase reactor and system having exhaust plenum and components thereof |
JP5800964B1 (en) * | 2014-07-22 | 2015-10-28 | 株式会社日立国際電気 | Substrate processing apparatus, semiconductor device manufacturing method, and recording medium |
US10858737B2 (en) | 2014-07-28 | 2020-12-08 | Asm Ip Holding B.V. | Showerhead assembly and components thereof |
US9890456B2 (en) | 2014-08-21 | 2018-02-13 | Asm Ip Holding B.V. | Method and system for in situ formation of gas-phase compounds |
KR101600265B1 (en) * | 2014-09-01 | 2016-03-08 | 엘지디스플레이 주식회사 | Chemical vapor deposition apparatus |
US9657845B2 (en) | 2014-10-07 | 2017-05-23 | Asm Ip Holding B.V. | Variable conductance gas distribution apparatus and method |
US10941490B2 (en) | 2014-10-07 | 2021-03-09 | Asm Ip Holding B.V. | Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same |
US11605546B2 (en) | 2015-01-16 | 2023-03-14 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10658222B2 (en) * | 2015-01-16 | 2020-05-19 | Lam Research Corporation | Moveable edge coupling ring for edge process control during semiconductor wafer processing |
US10276355B2 (en) | 2015-03-12 | 2019-04-30 | Asm Ip Holding B.V. | Multi-zone reactor, system including the reactor, and method of using the same |
US10458018B2 (en) | 2015-06-26 | 2019-10-29 | Asm Ip Holding B.V. | Structures including metal carbide material, devices including the structures, and methods of forming same |
US10600673B2 (en) | 2015-07-07 | 2020-03-24 | Asm Ip Holding B.V. | Magnetic susceptor to baseplate seal |
US10957561B2 (en) | 2015-07-30 | 2021-03-23 | Lam Research Corporation | Gas delivery system |
USD819580S1 (en) * | 2016-04-01 | 2018-06-05 | Veeco Instruments, Inc. | Self-centering wafer carrier for chemical vapor deposition |
US10211308B2 (en) | 2015-10-21 | 2019-02-19 | Asm Ip Holding B.V. | NbMC layers |
US11139308B2 (en) | 2015-12-29 | 2021-10-05 | Asm Ip Holding B.V. | Atomic layer deposition of III-V compounds to form V-NAND devices |
US10825659B2 (en) | 2016-01-07 | 2020-11-03 | Lam Research Corporation | Substrate processing chamber including multiple gas injection points and dual injector |
CN108369922B (en) | 2016-01-26 | 2023-03-21 | 应用材料公司 | Wafer edge ring lifting solution |
JP6888007B2 (en) | 2016-01-26 | 2021-06-16 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | Wafer edge ring lifting solution |
US10699878B2 (en) | 2016-02-12 | 2020-06-30 | Lam Research Corporation | Chamber member of a plasma source and pedestal with radially outward positioned lift pins for translation of a substrate c-ring |
US10651015B2 (en) | 2016-02-12 | 2020-05-12 | Lam Research Corporation | Variable depth edge ring for etch uniformity control |
US10438833B2 (en) | 2016-02-16 | 2019-10-08 | Lam Research Corporation | Wafer lift ring system for wafer transfer |
US10529554B2 (en) | 2016-02-19 | 2020-01-07 | Asm Ip Holding B.V. | Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches |
US10343920B2 (en) | 2016-03-18 | 2019-07-09 | Asm Ip Holding B.V. | Aligned carbon nanotubes |
US11011353B2 (en) | 2016-03-29 | 2021-05-18 | Lam Research Corporation | Systems and methods for performing edge ring characterization |
US10312121B2 (en) | 2016-03-29 | 2019-06-04 | Lam Research Corporation | Systems and methods for aligning measurement device in substrate processing systems |
US10190213B2 (en) | 2016-04-21 | 2019-01-29 | Asm Ip Holding B.V. | Deposition of metal borides |
US10865475B2 (en) | 2016-04-21 | 2020-12-15 | Asm Ip Holding B.V. | Deposition of metal borides and silicides |
US10032628B2 (en) | 2016-05-02 | 2018-07-24 | Asm Ip Holding B.V. | Source/drain performance through conformal solid state doping |
US10367080B2 (en) | 2016-05-02 | 2019-07-30 | Asm Ip Holding B.V. | Method of forming a germanium oxynitride film |
US11453943B2 (en) | 2016-05-25 | 2022-09-27 | Asm Ip Holding B.V. | Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor |
US9859151B1 (en) | 2016-07-08 | 2018-01-02 | Asm Ip Holding B.V. | Selective film deposition method to form air gaps |
US10612137B2 (en) | 2016-07-08 | 2020-04-07 | Asm Ip Holdings B.V. | Organic reactants for atomic layer deposition |
US10714385B2 (en) | 2016-07-19 | 2020-07-14 | Asm Ip Holding B.V. | Selective deposition of tungsten |
US9887082B1 (en) | 2016-07-28 | 2018-02-06 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
US9812320B1 (en) | 2016-07-28 | 2017-11-07 | Asm Ip Holding B.V. | Method and apparatus for filling a gap |
KR102532607B1 (en) | 2016-07-28 | 2023-05-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method of operating the same |
US10410832B2 (en) | 2016-08-19 | 2019-09-10 | Lam Research Corporation | Control of on-wafer CD uniformity with movable edge ring and gas injection adjustment |
US10643826B2 (en) | 2016-10-26 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for thermally calibrating reaction chambers |
US11532757B2 (en) | 2016-10-27 | 2022-12-20 | Asm Ip Holding B.V. | Deposition of charge trapping layers |
US10714350B2 (en) | 2016-11-01 | 2020-07-14 | ASM IP Holdings, B.V. | Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US10229833B2 (en) | 2016-11-01 | 2019-03-12 | Asm Ip Holding B.V. | Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures |
US20180122670A1 (en) * | 2016-11-01 | 2018-05-03 | Varian Semiconductor Equipment Associates, Inc. | Removable substrate plane structure ring |
US10643904B2 (en) | 2016-11-01 | 2020-05-05 | Asm Ip Holdings B.V. | Methods for forming a semiconductor device and related semiconductor device structures |
US10134757B2 (en) | 2016-11-07 | 2018-11-20 | Asm Ip Holding B.V. | Method of processing a substrate and a device manufactured by using the method |
US10541168B2 (en) * | 2016-11-14 | 2020-01-21 | Lam Research Corporation | Edge ring centering method using ring dynamic alignment data |
KR102546317B1 (en) | 2016-11-15 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Gas supply unit and substrate processing apparatus including the same |
US10704147B2 (en) * | 2016-12-03 | 2020-07-07 | Applied Materials, Inc. | Process kit design for in-chamber heater and wafer rotating mechanism |
KR102762543B1 (en) | 2016-12-14 | 2025-02-05 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11447861B2 (en) | 2016-12-15 | 2022-09-20 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus and a method of forming a patterned structure |
US11581186B2 (en) | 2016-12-15 | 2023-02-14 | Asm Ip Holding B.V. | Sequential infiltration synthesis apparatus |
US9947517B1 (en) | 2016-12-16 | 2018-04-17 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
KR102700194B1 (en) | 2016-12-19 | 2024-08-28 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US10269558B2 (en) | 2016-12-22 | 2019-04-23 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US10867788B2 (en) | 2016-12-28 | 2020-12-15 | Asm Ip Holding B.V. | Method of forming a structure on a substrate |
US11390950B2 (en) | 2017-01-10 | 2022-07-19 | Asm Ip Holding B.V. | Reactor system and method to reduce residue buildup during a film deposition process |
US10553404B2 (en) | 2017-02-01 | 2020-02-04 | Applied Materials, Inc. | Adjustable extended electrode for edge uniformity control |
US10655221B2 (en) | 2017-02-09 | 2020-05-19 | Asm Ip Holding B.V. | Method for depositing oxide film by thermal ALD and PEALD |
US10468261B2 (en) | 2017-02-15 | 2019-11-05 | Asm Ip Holding B.V. | Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures |
US10529563B2 (en) | 2017-03-29 | 2020-01-07 | Asm Ip Holdings B.V. | Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures |
USD876504S1 (en) * | 2017-04-03 | 2020-02-25 | Asm Ip Holding B.V. | Exhaust flow control ring for semiconductor deposition apparatus |
KR102457289B1 (en) | 2017-04-25 | 2022-10-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10892156B2 (en) | 2017-05-08 | 2021-01-12 | Asm Ip Holding B.V. | Methods for forming a silicon nitride film on a substrate and related semiconductor device structures |
US10770286B2 (en) | 2017-05-08 | 2020-09-08 | Asm Ip Holdings B.V. | Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures |
US12040200B2 (en) | 2017-06-20 | 2024-07-16 | Asm Ip Holding B.V. | Semiconductor processing apparatus and methods for calibrating a semiconductor processing apparatus |
US11306395B2 (en) | 2017-06-28 | 2022-04-19 | Asm Ip Holding B.V. | Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus |
US10685834B2 (en) | 2017-07-05 | 2020-06-16 | Asm Ip Holdings B.V. | Methods for forming a silicon germanium tin layer and related semiconductor device structures |
KR20190009245A (en) | 2017-07-18 | 2019-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Methods for forming a semiconductor device structure and related semiconductor device structures |
US11374112B2 (en) | 2017-07-19 | 2022-06-28 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US10541333B2 (en) | 2017-07-19 | 2020-01-21 | Asm Ip Holding B.V. | Method for depositing a group IV semiconductor and related semiconductor device structures |
US11018002B2 (en) | 2017-07-19 | 2021-05-25 | Asm Ip Holding B.V. | Method for selectively depositing a Group IV semiconductor and related semiconductor device structures |
US10590535B2 (en) | 2017-07-26 | 2020-03-17 | Asm Ip Holdings B.V. | Chemical treatment, deposition and/or infiltration apparatus and method for using the same |
TWI815813B (en) | 2017-08-04 | 2023-09-21 | 荷蘭商Asm智慧財產控股公司 | Showerhead assembly for distributing a gas within a reaction chamber |
US10692741B2 (en) | 2017-08-08 | 2020-06-23 | Asm Ip Holdings B.V. | Radiation shield |
US10770336B2 (en) | 2017-08-08 | 2020-09-08 | Asm Ip Holding B.V. | Substrate lift mechanism and reactor including same |
US10249524B2 (en) | 2017-08-09 | 2019-04-02 | Asm Ip Holding B.V. | Cassette holder assembly for a substrate cassette and holding member for use in such assembly |
US11769682B2 (en) | 2017-08-09 | 2023-09-26 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
US11139191B2 (en) | 2017-08-09 | 2021-10-05 | Asm Ip Holding B.V. | Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith |
USD900036S1 (en) | 2017-08-24 | 2020-10-27 | Asm Ip Holding B.V. | Heater electrical connector and adapter |
US11830730B2 (en) | 2017-08-29 | 2023-11-28 | Asm Ip Holding B.V. | Layer forming method and apparatus |
US11056344B2 (en) | 2017-08-30 | 2021-07-06 | Asm Ip Holding B.V. | Layer forming method |
KR102491945B1 (en) | 2017-08-30 | 2023-01-26 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11295980B2 (en) | 2017-08-30 | 2022-04-05 | Asm Ip Holding B.V. | Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures |
KR102401446B1 (en) | 2017-08-31 | 2022-05-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11075105B2 (en) | 2017-09-21 | 2021-07-27 | Applied Materials, Inc. | In-situ apparatus for semiconductor process module |
KR102630301B1 (en) | 2017-09-21 | 2024-01-29 | 에이에스엠 아이피 홀딩 비.브이. | Method of sequential infiltration synthesis treatment of infiltrateable material and structures and devices formed using same |
US10844484B2 (en) | 2017-09-22 | 2020-11-24 | Asm Ip Holding B.V. | Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
US10658205B2 (en) | 2017-09-28 | 2020-05-19 | Asm Ip Holdings B.V. | Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber |
US10403504B2 (en) | 2017-10-05 | 2019-09-03 | Asm Ip Holding B.V. | Method for selectively depositing a metallic film on a substrate |
US10319588B2 (en) | 2017-10-10 | 2019-06-11 | Asm Ip Holding B.V. | Method for depositing a metal chalcogenide on a substrate by cyclical deposition |
US10923344B2 (en) | 2017-10-30 | 2021-02-16 | Asm Ip Holding B.V. | Methods for forming a semiconductor structure and related semiconductor structures |
KR102443047B1 (en) | 2017-11-16 | 2022-09-14 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US10910262B2 (en) | 2017-11-16 | 2021-02-02 | Asm Ip Holding B.V. | Method of selectively depositing a capping layer structure on a semiconductor device structure |
KR102329167B1 (en) * | 2017-11-20 | 2021-11-22 | 주식회사 원익아이피에스 | Assembly for Supporting Semiconductor Substrate and Substrate Processing Apparatus Including The Same |
CN118380372A (en) | 2017-11-21 | 2024-07-23 | 朗姆研究公司 | Bottom edge ring and middle edge ring |
US11022879B2 (en) | 2017-11-24 | 2021-06-01 | Asm Ip Holding B.V. | Method of forming an enhanced unexposed photoresist layer |
TWI779134B (en) | 2017-11-27 | 2022-10-01 | 荷蘭商Asm智慧財產控股私人有限公司 | A storage device for storing wafer cassettes and a batch furnace assembly |
TWI791689B (en) | 2017-11-27 | 2023-02-11 | 荷蘭商Asm智慧財產控股私人有限公司 | Apparatus including a clean mini environment |
USD851144S1 (en) * | 2017-12-04 | 2019-06-11 | Liqua-Tech Corporation | Register gear adapter plate |
USD862539S1 (en) * | 2017-12-04 | 2019-10-08 | Liqua-Tech Corporation | Register gear adapter plate |
USD851693S1 (en) * | 2017-12-04 | 2019-06-18 | Liqua-Tech Corporation | Register gear adapter plate |
KR102336497B1 (en) * | 2017-12-08 | 2021-12-08 | 주식회사 원익아이피에스 | Substrate supporting assembly and substrate processing apparatus including the same |
US11043400B2 (en) | 2017-12-21 | 2021-06-22 | Applied Materials, Inc. | Movable and removable process kit |
US10872771B2 (en) | 2018-01-16 | 2020-12-22 | Asm Ip Holding B. V. | Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures |
TWI852426B (en) | 2018-01-19 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | Deposition method |
WO2019142055A2 (en) | 2018-01-19 | 2019-07-25 | Asm Ip Holding B.V. | Method for depositing a gap-fill layer by plasma-assisted deposition |
USD903477S1 (en) | 2018-01-24 | 2020-12-01 | Asm Ip Holdings B.V. | Metal clamp |
US11018047B2 (en) | 2018-01-25 | 2021-05-25 | Asm Ip Holding B.V. | Hybrid lift pin |
USD880437S1 (en) | 2018-02-01 | 2020-04-07 | Asm Ip Holding B.V. | Gas supply plate for semiconductor manufacturing apparatus |
US11081345B2 (en) | 2018-02-06 | 2021-08-03 | Asm Ip Holding B.V. | Method of post-deposition treatment for silicon oxide film |
US10896820B2 (en) | 2018-02-14 | 2021-01-19 | Asm Ip Holding B.V. | Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process |
CN116732497B (en) | 2018-02-14 | 2025-06-17 | Asmip私人控股有限公司 | Method for depositing a ruthenium-containing film on a substrate by a cyclic deposition process |
US10731249B2 (en) | 2018-02-15 | 2020-08-04 | Asm Ip Holding B.V. | Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus |
US20190259647A1 (en) * | 2018-02-17 | 2019-08-22 | Applied Materials, Inc. | Deposition ring for processing reduced size substrates |
US10658181B2 (en) | 2018-02-20 | 2020-05-19 | Asm Ip Holding B.V. | Method of spacer-defined direct patterning in semiconductor fabrication |
KR102636427B1 (en) | 2018-02-20 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method and apparatus |
US10975470B2 (en) | 2018-02-23 | 2021-04-13 | Asm Ip Holding B.V. | Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment |
US11473195B2 (en) | 2018-03-01 | 2022-10-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus and a method for processing a substrate |
US11629406B2 (en) | 2018-03-09 | 2023-04-18 | Asm Ip Holding B.V. | Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate |
KR102723235B1 (en) | 2018-03-13 | 2024-10-31 | 어플라이드 머티어리얼스, 인코포레이티드 | Support ring with plasma spray coating |
US11114283B2 (en) | 2018-03-16 | 2021-09-07 | Asm Ip Holding B.V. | Reactor, system including the reactor, and methods of manufacturing and using same |
KR102646467B1 (en) | 2018-03-27 | 2024-03-11 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electrode on a substrate and a semiconductor device structure including an electrode |
US11230766B2 (en) | 2018-03-29 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11088002B2 (en) | 2018-03-29 | 2021-08-10 | Asm Ip Holding B.V. | Substrate rack and a substrate processing system and method |
KR102501472B1 (en) | 2018-03-30 | 2023-02-20 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing method |
KR102600229B1 (en) | 2018-04-09 | 2023-11-10 | 에이에스엠 아이피 홀딩 비.브이. | Substrate supporting device, substrate processing apparatus including the same and substrate processing method |
US12025484B2 (en) | 2018-05-08 | 2024-07-02 | Asm Ip Holding B.V. | Thin film forming method |
TWI811348B (en) | 2018-05-08 | 2023-08-11 | 荷蘭商Asm 智慧財產控股公司 | Methods for depositing an oxide film on a substrate by a cyclical deposition process and related device structures |
US12272527B2 (en) | 2018-05-09 | 2025-04-08 | Asm Ip Holding B.V. | Apparatus for use with hydrogen radicals and method of using same |
TWI879056B (en) | 2018-05-11 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods for forming a doped metal carbide film on a substrate and related semiconductor device structures |
US10600623B2 (en) | 2018-05-28 | 2020-03-24 | Applied Materials, Inc. | Process kit with adjustable tuning ring for edge uniformity control |
KR102596988B1 (en) | 2018-05-28 | 2023-10-31 | 에이에스엠 아이피 홀딩 비.브이. | Method of processing a substrate and a device manufactured by the same |
US11718913B2 (en) | 2018-06-04 | 2023-08-08 | Asm Ip Holding B.V. | Gas distribution system and reactor system including same |
TWI840362B (en) | 2018-06-04 | 2024-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Wafer handling chamber with moisture reduction |
US11286562B2 (en) | 2018-06-08 | 2022-03-29 | Asm Ip Holding B.V. | Gas-phase chemical reactor and method of using same |
US11935773B2 (en) | 2018-06-14 | 2024-03-19 | Applied Materials, Inc. | Calibration jig and calibration method |
US10797133B2 (en) | 2018-06-21 | 2020-10-06 | Asm Ip Holding B.V. | Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures |
KR102568797B1 (en) | 2018-06-21 | 2023-08-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing system |
TWI871083B (en) | 2018-06-27 | 2025-01-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclic deposition processes for forming metal-containing material |
WO2020003000A1 (en) | 2018-06-27 | 2020-01-02 | Asm Ip Holding B.V. | Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material |
KR102686758B1 (en) | 2018-06-29 | 2024-07-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing a thin film and manufacturing a semiconductor device |
US10612136B2 (en) | 2018-06-29 | 2020-04-07 | ASM IP Holding, B.V. | Temperature-controlled flange and reactor system including same |
US10755922B2 (en) | 2018-07-03 | 2020-08-25 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10388513B1 (en) | 2018-07-03 | 2019-08-20 | Asm Ip Holding B.V. | Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition |
US10767789B2 (en) | 2018-07-16 | 2020-09-08 | Asm Ip Holding B.V. | Diaphragm valves, valve components, and methods for forming valve components |
US11053591B2 (en) | 2018-08-06 | 2021-07-06 | Asm Ip Holding B.V. | Multi-port gas injection system and reactor system including same |
US10883175B2 (en) | 2018-08-09 | 2021-01-05 | Asm Ip Holding B.V. | Vertical furnace for processing substrates and a liner for use therein |
US10829852B2 (en) | 2018-08-16 | 2020-11-10 | Asm Ip Holding B.V. | Gas distribution device for a wafer processing apparatus |
US11430674B2 (en) | 2018-08-22 | 2022-08-30 | Asm Ip Holding B.V. | Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods |
KR102707956B1 (en) | 2018-09-11 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for deposition of a thin film |
US11024523B2 (en) | 2018-09-11 | 2021-06-01 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
US11049751B2 (en) | 2018-09-14 | 2021-06-29 | Asm Ip Holding B.V. | Cassette supply system to store and handle cassettes and processing apparatus equipped therewith |
CN110970344B (en) | 2018-10-01 | 2024-10-25 | Asmip控股有限公司 | Substrate holding apparatus, system comprising the same and method of using the same |
US11232963B2 (en) | 2018-10-03 | 2022-01-25 | Asm Ip Holding B.V. | Substrate processing apparatus and method |
KR102592699B1 (en) | 2018-10-08 | 2023-10-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and apparatuses for depositing thin film and processing the substrate including the same |
US10847365B2 (en) | 2018-10-11 | 2020-11-24 | Asm Ip Holding B.V. | Method of forming conformal silicon carbide film by cyclic CVD |
US10811256B2 (en) | 2018-10-16 | 2020-10-20 | Asm Ip Holding B.V. | Method for etching a carbon-containing feature |
KR102605121B1 (en) | 2018-10-19 | 2023-11-23 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
KR102546322B1 (en) | 2018-10-19 | 2023-06-21 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and substrate processing method |
USD948463S1 (en) | 2018-10-24 | 2022-04-12 | Asm Ip Holding B.V. | Susceptor for semiconductor substrate supporting apparatus |
US12378665B2 (en) | 2018-10-26 | 2025-08-05 | Asm Ip Holding B.V. | High temperature coatings for a preclean and etch apparatus and related methods |
US11087997B2 (en) | 2018-10-31 | 2021-08-10 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
KR102748291B1 (en) | 2018-11-02 | 2024-12-31 | 에이에스엠 아이피 홀딩 비.브이. | Substrate support unit and substrate processing apparatus including the same |
US11572620B2 (en) | 2018-11-06 | 2023-02-07 | Asm Ip Holding B.V. | Methods for selectively depositing an amorphous silicon film on a substrate |
US11031242B2 (en) | 2018-11-07 | 2021-06-08 | Asm Ip Holding B.V. | Methods for depositing a boron doped silicon germanium film |
US10818758B2 (en) | 2018-11-16 | 2020-10-27 | Asm Ip Holding B.V. | Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures |
US10847366B2 (en) | 2018-11-16 | 2020-11-24 | Asm Ip Holding B.V. | Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process |
US11289310B2 (en) | 2018-11-21 | 2022-03-29 | Applied Materials, Inc. | Circuits for edge ring control in shaped DC pulsed plasma process device |
US10559458B1 (en) | 2018-11-26 | 2020-02-11 | Asm Ip Holding B.V. | Method of forming oxynitride film |
US12040199B2 (en) | 2018-11-28 | 2024-07-16 | Asm Ip Holding B.V. | Substrate processing apparatus for processing substrates |
US11217444B2 (en) | 2018-11-30 | 2022-01-04 | Asm Ip Holding B.V. | Method for forming an ultraviolet radiation responsive metal oxide-containing film |
KR102636428B1 (en) | 2018-12-04 | 2024-02-13 | 에이에스엠 아이피 홀딩 비.브이. | A method for cleaning a substrate processing apparatus |
JP7023826B2 (en) * | 2018-12-07 | 2022-02-22 | 株式会社ニューフレアテクノロジー | Continuous film formation method, continuous film formation equipment, susceptor unit, and spacer set used for susceptor unit. |
US11158513B2 (en) | 2018-12-13 | 2021-10-26 | Asm Ip Holding B.V. | Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures |
JP7504584B2 (en) | 2018-12-14 | 2024-06-24 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and system for forming device structures using selective deposition of gallium nitride - Patents.com |
TWI819180B (en) | 2019-01-17 | 2023-10-21 | 荷蘭商Asm 智慧財產控股公司 | Methods of forming a transition metal containing film on a substrate by a cyclical deposition process |
KR102727227B1 (en) | 2019-01-22 | 2024-11-07 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing device |
CN111524788B (en) | 2019-02-01 | 2023-11-24 | Asm Ip私人控股有限公司 | Method for forming topologically selective films of silicon oxide |
TWI845607B (en) | 2019-02-20 | 2024-06-21 | 荷蘭商Asm Ip私人控股有限公司 | Cyclical deposition method and apparatus for filling a recess formed within a substrate surface |
JP7603377B2 (en) | 2019-02-20 | 2024-12-20 | エーエスエム・アイピー・ホールディング・ベー・フェー | Method and apparatus for filling recesses formed in a substrate surface - Patents.com |
KR20200102357A (en) | 2019-02-20 | 2020-08-31 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for plug fill deposition in 3-d nand applications |
KR102626263B1 (en) | 2019-02-20 | 2024-01-16 | 에이에스엠 아이피 홀딩 비.브이. | Cyclical deposition method including treatment step and apparatus for same |
TWI842826B (en) | 2019-02-22 | 2024-05-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing apparatus and method for processing substrate |
KR102782593B1 (en) | 2019-03-08 | 2025-03-14 | 에이에스엠 아이피 홀딩 비.브이. | Structure Including SiOC Layer and Method of Forming Same |
KR102762833B1 (en) | 2019-03-08 | 2025-02-04 | 에이에스엠 아이피 홀딩 비.브이. | STRUCTURE INCLUDING SiOCN LAYER AND METHOD OF FORMING SAME |
KR20210128002A (en) * | 2019-03-08 | 2021-10-25 | 램 리써치 코포레이션 | Chucks for Plasma Processing Chambers |
KR102858005B1 (en) | 2019-03-08 | 2025-09-09 | 에이에스엠 아이피 홀딩 비.브이. | Method for Selective Deposition of Silicon Nitride Layer and Structure Including Selectively-Deposited Silicon Nitride Layer |
JP2020167398A (en) | 2019-03-28 | 2020-10-08 | エーエスエム・アイピー・ホールディング・ベー・フェー | Door openers and substrate processing equipment provided with door openers |
KR102809999B1 (en) | 2019-04-01 | 2025-05-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device |
US11101115B2 (en) | 2019-04-19 | 2021-08-24 | Applied Materials, Inc. | Ring removal from processing chamber |
KR20200123380A (en) | 2019-04-19 | 2020-10-29 | 에이에스엠 아이피 홀딩 비.브이. | Layer forming method and apparatus |
US12009236B2 (en) | 2019-04-22 | 2024-06-11 | Applied Materials, Inc. | Sensors and system for in-situ edge ring erosion monitor |
KR20200125453A (en) | 2019-04-24 | 2020-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Gas-phase reactor system and method of using same |
KR20200130121A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Chemical source vessel with dip tube |
KR20200130118A (en) | 2019-05-07 | 2020-11-18 | 에이에스엠 아이피 홀딩 비.브이. | Method for Reforming Amorphous Carbon Polymer Film |
KR20200130652A (en) | 2019-05-10 | 2020-11-19 | 에이에스엠 아이피 홀딩 비.브이. | Method of depositing material onto a surface and structure formed according to the method |
KR20240152979A (en) * | 2019-05-14 | 2024-10-22 | 매슨 테크놀로지 인크 | Plasma processing apparatus having a focus ring adjustment assembly |
JP7612342B2 (en) | 2019-05-16 | 2025-01-14 | エーエスエム・アイピー・ホールディング・ベー・フェー | Wafer boat handling apparatus, vertical batch furnace and method |
JP7598201B2 (en) | 2019-05-16 | 2024-12-11 | エーエスエム・アイピー・ホールディング・ベー・フェー | Wafer boat handling apparatus, vertical batch furnace and method |
USD947913S1 (en) | 2019-05-17 | 2022-04-05 | Asm Ip Holding B.V. | Susceptor shaft |
USD975665S1 (en) | 2019-05-17 | 2023-01-17 | Asm Ip Holding B.V. | Susceptor shaft |
USD935572S1 (en) | 2019-05-24 | 2021-11-09 | Asm Ip Holding B.V. | Gas channel plate |
USD922229S1 (en) | 2019-06-05 | 2021-06-15 | Asm Ip Holding B.V. | Device for controlling a temperature of a gas supply unit |
KR20200141002A (en) | 2019-06-06 | 2020-12-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of using a gas-phase reactor system including analyzing exhausted gas |
KR20200141931A (en) | 2019-06-10 | 2020-12-21 | 에이에스엠 아이피 홀딩 비.브이. | Method for cleaning quartz epitaxial chambers |
KR20200143254A (en) | 2019-06-11 | 2020-12-23 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming an electronic structure using an reforming gas, system for performing the method, and structure formed using the method |
USD944946S1 (en) | 2019-06-14 | 2022-03-01 | Asm Ip Holding B.V. | Shower plate |
USD931978S1 (en) | 2019-06-27 | 2021-09-28 | Asm Ip Holding B.V. | Showerhead vacuum transport |
KR20210005515A (en) | 2019-07-03 | 2021-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Temperature control assembly for substrate processing apparatus and method of using same |
JP7499079B2 (en) | 2019-07-09 | 2024-06-13 | エーエスエム・アイピー・ホールディング・ベー・フェー | Plasma device using coaxial waveguide and substrate processing method |
CN112216646A (en) | 2019-07-10 | 2021-01-12 | Asm Ip私人控股有限公司 | Substrate supporting assembly and substrate processing device comprising same |
KR20210010307A (en) | 2019-07-16 | 2021-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210010816A (en) | 2019-07-17 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Radical assist ignition plasma system and method |
US11688603B2 (en) | 2019-07-17 | 2023-06-27 | Asm Ip Holding B.V. | Methods of forming silicon germanium structures |
US11643724B2 (en) | 2019-07-18 | 2023-05-09 | Asm Ip Holding B.V. | Method of forming structures using a neutral beam |
KR20210010817A (en) | 2019-07-19 | 2021-01-28 | 에이에스엠 아이피 홀딩 비.브이. | Method of Forming Topology-Controlled Amorphous Carbon Polymer Film |
TWI839544B (en) | 2019-07-19 | 2024-04-21 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming topology-controlled amorphous carbon polymer film |
TWI851767B (en) | 2019-07-29 | 2024-08-11 | 荷蘭商Asm Ip私人控股有限公司 | Methods for selective deposition utilizing n-type dopants and/or alternative dopants to achieve high dopant incorporation |
CN112309900A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
KR20210015655A (en) | 2019-07-30 | 2021-02-10 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus and method |
CN112309899A (en) | 2019-07-30 | 2021-02-02 | Asm Ip私人控股有限公司 | Substrate processing apparatus |
US11227782B2 (en) | 2019-07-31 | 2022-01-18 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587814B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
US11587815B2 (en) | 2019-07-31 | 2023-02-21 | Asm Ip Holding B.V. | Vertical batch furnace assembly |
CN118422165A (en) | 2019-08-05 | 2024-08-02 | Asm Ip私人控股有限公司 | Liquid level sensor for chemical source container |
KR20210018761A (en) | 2019-08-09 | 2021-02-18 | 에이에스엠 아이피 홀딩 비.브이. | heater assembly including cooling apparatus and method of using same |
USD965524S1 (en) | 2019-08-19 | 2022-10-04 | Asm Ip Holding B.V. | Susceptor support |
USD965044S1 (en) | 2019-08-19 | 2022-09-27 | Asm Ip Holding B.V. | Susceptor shaft |
JP2021031769A (en) | 2019-08-21 | 2021-03-01 | エーエスエム アイピー ホールディング ビー.ブイ. | Production apparatus of mixed gas of film deposition raw material and film deposition apparatus |
USD940837S1 (en) | 2019-08-22 | 2022-01-11 | Asm Ip Holding B.V. | Electrode |
KR20210024423A (en) | 2019-08-22 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for forming a structure with a hole |
USD979506S1 (en) | 2019-08-22 | 2023-02-28 | Asm Ip Holding B.V. | Insulator |
USD949319S1 (en) | 2019-08-22 | 2022-04-19 | Asm Ip Holding B.V. | Exhaust duct |
USD930782S1 (en) | 2019-08-22 | 2021-09-14 | Asm Ip Holding B.V. | Gas distributor |
US11286558B2 (en) | 2019-08-23 | 2022-03-29 | Asm Ip Holding B.V. | Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film |
KR20210024420A (en) | 2019-08-23 | 2021-03-05 | 에이에스엠 아이피 홀딩 비.브이. | Method for depositing silicon oxide film having improved quality by peald using bis(diethylamino)silane |
KR102806450B1 (en) | 2019-09-04 | 2025-05-12 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selective deposition using a sacrificial capping layer |
CN112447474B (en) * | 2019-09-04 | 2022-11-04 | 中微半导体设备(上海)股份有限公司 | Plasma processor with movable ring |
KR102733104B1 (en) | 2019-09-05 | 2024-11-22 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
US11562901B2 (en) | 2019-09-25 | 2023-01-24 | Asm Ip Holding B.V. | Substrate processing method |
CN112593212B (en) | 2019-10-02 | 2023-12-22 | Asm Ip私人控股有限公司 | Method for forming topologically selective silicon oxide film by cyclic plasma enhanced deposition process |
TWI846953B (en) | 2019-10-08 | 2024-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
KR20210042810A (en) | 2019-10-08 | 2021-04-20 | 에이에스엠 아이피 홀딩 비.브이. | Reactor system including a gas distribution assembly for use with activated species and method of using same |
KR20210043460A (en) | 2019-10-10 | 2021-04-21 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming a photoresist underlayer and structure including same |
US12009241B2 (en) | 2019-10-14 | 2024-06-11 | Asm Ip Holding B.V. | Vertical batch furnace assembly with detector to detect cassette |
TWI834919B (en) | 2019-10-16 | 2024-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Method of topology-selective film formation of silicon oxide |
US11637014B2 (en) | 2019-10-17 | 2023-04-25 | Asm Ip Holding B.V. | Methods for selective deposition of doped semiconductor material |
KR102845724B1 (en) | 2019-10-21 | 2025-08-13 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching films |
KR20210050453A (en) | 2019-10-25 | 2021-05-07 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate surface and related semiconductor structures |
US11646205B2 (en) | 2019-10-29 | 2023-05-09 | Asm Ip Holding B.V. | Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same |
KR20210054983A (en) | 2019-11-05 | 2021-05-14 | 에이에스엠 아이피 홀딩 비.브이. | Structures with doped semiconductor layers and methods and systems for forming same |
US11501968B2 (en) | 2019-11-15 | 2022-11-15 | Asm Ip Holding B.V. | Method for providing a semiconductor device with silicon filled gaps |
US11626316B2 (en) | 2019-11-20 | 2023-04-11 | Asm Ip Holding B.V. | Method of depositing carbon-containing material on a surface of a substrate, structure formed using the method, and system for forming the structure |
CN112951697B (en) | 2019-11-26 | 2025-07-29 | Asmip私人控股有限公司 | Substrate processing apparatus |
KR20210065848A (en) | 2019-11-26 | 2021-06-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods for selectivley forming a target film on a substrate comprising a first dielectric surface and a second metallic surface |
CN112885692B (en) | 2019-11-29 | 2025-08-15 | Asmip私人控股有限公司 | Substrate processing apparatus |
CN112885693B (en) | 2019-11-29 | 2025-06-10 | Asmip私人控股有限公司 | Substrate processing apparatus |
JP7527928B2 (en) | 2019-12-02 | 2024-08-05 | エーエスエム・アイピー・ホールディング・ベー・フェー | Substrate processing apparatus and substrate processing method |
KR20210070898A (en) | 2019-12-04 | 2021-06-15 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
CN112992667A (en) | 2019-12-17 | 2021-06-18 | Asm Ip私人控股有限公司 | Method of forming vanadium nitride layer and structure including vanadium nitride layer |
KR20210080214A (en) | 2019-12-19 | 2021-06-30 | 에이에스엠 아이피 홀딩 비.브이. | Methods for filling a gap feature on a substrate and related semiconductor structures |
KR20210089079A (en) | 2020-01-06 | 2021-07-15 | 에이에스엠 아이피 홀딩 비.브이. | Channeled lift pin |
JP7730637B2 (en) | 2020-01-06 | 2025-08-28 | エーエスエム・アイピー・ホールディング・ベー・フェー | Gas delivery assembly, components thereof, and reactor system including same |
US11993847B2 (en) | 2020-01-08 | 2024-05-28 | Asm Ip Holding B.V. | Injector |
KR20210093163A (en) | 2020-01-16 | 2021-07-27 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming high aspect ratio features |
KR102675856B1 (en) | 2020-01-20 | 2024-06-17 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming thin film and method of modifying surface of thin film |
JP2021115573A (en) | 2020-01-29 | 2021-08-10 | エーエスエム・アイピー・ホールディング・ベー・フェー | Pollutant trap system for reactor system |
TW202513845A (en) | 2020-02-03 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor structures and methods for forming the same |
TW202146882A (en) | 2020-02-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of verifying an article, apparatus for verifying an article, and system for verifying a reaction chamber |
US11776846B2 (en) | 2020-02-07 | 2023-10-03 | Asm Ip Holding B.V. | Methods for depositing gap filling fluids and related systems and devices |
KR20210103956A (en) | 2020-02-13 | 2021-08-24 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus including light receiving device and calibration method of light receiving device |
US11781243B2 (en) | 2020-02-17 | 2023-10-10 | Asm Ip Holding B.V. | Method for depositing low temperature phosphorous-doped silicon |
CN113410160A (en) | 2020-02-28 | 2021-09-17 | Asm Ip私人控股有限公司 | System specially used for cleaning parts |
KR20210113043A (en) | 2020-03-04 | 2021-09-15 | 에이에스엠 아이피 홀딩 비.브이. | Alignment fixture for a reactor system |
KR20210116240A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | Substrate handling device with adjustable joints |
KR20210116249A (en) | 2020-03-11 | 2021-09-27 | 에이에스엠 아이피 홀딩 비.브이. | lockout tagout assembly and system and method of using same |
KR102775390B1 (en) | 2020-03-12 | 2025-02-28 | 에이에스엠 아이피 홀딩 비.브이. | Method for Fabricating Layer Structure Having Target Topological Profile |
US12173404B2 (en) | 2020-03-17 | 2024-12-24 | Asm Ip Holding B.V. | Method of depositing epitaxial material, structure formed using the method, and system for performing the method |
KR102755229B1 (en) | 2020-04-02 | 2025-01-14 | 에이에스엠 아이피 홀딩 비.브이. | Thin film forming method |
KR102719377B1 (en) | 2020-04-03 | 2024-10-17 | 에이에스엠 아이피 홀딩 비.브이. | Method For Forming Barrier Layer And Method For Manufacturing Semiconductor Device |
KR20210125923A (en) | 2020-04-08 | 2021-10-19 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus and methods for selectively etching silicon oxide films |
KR20210128343A (en) | 2020-04-15 | 2021-10-26 | 에이에스엠 아이피 홀딩 비.브이. | Method of forming chromium nitride layer and structure including the chromium nitride layer |
US11821078B2 (en) | 2020-04-15 | 2023-11-21 | Asm Ip Holding B.V. | Method for forming precoat film and method for forming silicon-containing film |
US11996289B2 (en) | 2020-04-16 | 2024-05-28 | Asm Ip Holding B.V. | Methods of forming structures including silicon germanium and silicon layers, devices formed using the methods, and systems for performing the methods |
TW202143328A (en) | 2020-04-21 | 2021-11-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for adjusting a film stress |
TW202208671A (en) | 2020-04-24 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods of forming structures including vanadium boride and vanadium phosphide layers |
KR20210132600A (en) | 2020-04-24 | 2021-11-04 | 에이에스엠 아이피 홀딩 비.브이. | Methods and systems for depositing a layer comprising vanadium, nitrogen, and a further element |
TW202146831A (en) | 2020-04-24 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Vertical batch furnace assembly, and method for cooling vertical batch furnace |
JP2021172585A (en) | 2020-04-24 | 2021-11-01 | エーエスエム・アイピー・ホールディング・ベー・フェー | Methods and equipment for stabilizing vanadium compounds |
CN113555279A (en) | 2020-04-24 | 2021-10-26 | Asm Ip私人控股有限公司 | Methods of forming vanadium nitride-containing layers and structures comprising the same |
KR102783898B1 (en) | 2020-04-29 | 2025-03-18 | 에이에스엠 아이피 홀딩 비.브이. | Solid source precursor vessel |
KR20210134869A (en) | 2020-05-01 | 2021-11-11 | 에이에스엠 아이피 홀딩 비.브이. | Fast FOUP swapping with a FOUP handler |
TW202147543A (en) | 2020-05-04 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Semiconductor processing system |
KR102788543B1 (en) | 2020-05-13 | 2025-03-27 | 에이에스엠 아이피 홀딩 비.브이. | Laser alignment fixture for a reactor system |
TW202146699A (en) | 2020-05-15 | 2021-12-16 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming a silicon germanium layer, semiconductor structure, semiconductor device, method of forming a deposition layer, and deposition system |
KR20210143653A (en) | 2020-05-19 | 2021-11-29 | 에이에스엠 아이피 홀딩 비.브이. | Substrate processing apparatus |
KR20210145079A (en) | 2020-05-21 | 2021-12-01 | 에이에스엠 아이피 홀딩 비.브이. | Flange and apparatus for processing substrates |
KR102795476B1 (en) | 2020-05-21 | 2025-04-11 | 에이에스엠 아이피 홀딩 비.브이. | Structures including multiple carbon layers and methods of forming and using same |
KR102702526B1 (en) | 2020-05-22 | 2024-09-03 | 에이에스엠 아이피 홀딩 비.브이. | Apparatus for depositing thin films using hydrogen peroxide |
TW202212650A (en) | 2020-05-26 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for depositing boron and gallium containing silicon germanium layers |
TWI876048B (en) | 2020-05-29 | 2025-03-11 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing device |
TW202212620A (en) | 2020-06-02 | 2022-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Apparatus for processing substrate, method of forming film, and method of controlling apparatus for processing substrate |
TW202208659A (en) | 2020-06-16 | 2022-03-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for depositing boron containing silicon germanium layers |
JP7519822B2 (en) * | 2020-06-19 | 2024-07-22 | 東京エレクトロン株式会社 | Storage module, substrate processing system, and method for transporting consumables |
TW202218133A (en) | 2020-06-24 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming a layer provided with silicon |
TWI873359B (en) | 2020-06-30 | 2025-02-21 | 荷蘭商Asm Ip私人控股有限公司 | Substrate processing method |
KR102707957B1 (en) | 2020-07-08 | 2024-09-19 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
TWI864307B (en) | 2020-07-17 | 2024-12-01 | 荷蘭商Asm Ip私人控股有限公司 | Structures, methods and systems for use in photolithography |
TWI878570B (en) | 2020-07-20 | 2025-04-01 | 荷蘭商Asm Ip私人控股有限公司 | Method and system for depositing molybdenum layers |
KR20220011092A (en) | 2020-07-20 | 2022-01-27 | 에이에스엠 아이피 홀딩 비.브이. | Method and system for forming structures including transition metal layers |
USD1034491S1 (en) | 2020-07-27 | 2024-07-09 | Applied Materials, Inc. | Edge ring |
US12322591B2 (en) | 2020-07-27 | 2025-06-03 | Asm Ip Holding B.V. | Thin film deposition process |
US11380575B2 (en) | 2020-07-27 | 2022-07-05 | Applied Materials, Inc. | Film thickness uniformity improvement using edge ring and bias electrode geometry |
KR20220021863A (en) | 2020-08-14 | 2022-02-22 | 에이에스엠 아이피 홀딩 비.브이. | Method for processing a substrate |
US12040177B2 (en) | 2020-08-18 | 2024-07-16 | Asm Ip Holding B.V. | Methods for forming a laminate film by cyclical plasma-enhanced deposition processes |
TW202228863A (en) | 2020-08-25 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method for cleaning a substrate, method for selectively depositing, and reaction system |
US11725280B2 (en) | 2020-08-26 | 2023-08-15 | Asm Ip Holding B.V. | Method for forming metal silicon oxide and metal silicon oxynitride layers |
TW202229601A (en) | 2020-08-27 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming patterned structures, method of manipulating mechanical property, device structure, and substrate processing system |
TW202217045A (en) | 2020-09-10 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Methods for depositing gap filing fluids and related systems and devices |
USD990534S1 (en) | 2020-09-11 | 2023-06-27 | Asm Ip Holding B.V. | Weighted lift pin |
KR20220036866A (en) | 2020-09-16 | 2022-03-23 | 에이에스엠 아이피 홀딩 비.브이. | Silicon oxide deposition method |
USD1012873S1 (en) | 2020-09-24 | 2024-01-30 | Asm Ip Holding B.V. | Electrode for semiconductor processing apparatus |
KR20220041751A (en) | 2020-09-25 | 2022-04-01 | 에이에스엠 아이피 홀딩 비.브이. | Semiconductor processing method |
US12009224B2 (en) | 2020-09-29 | 2024-06-11 | Asm Ip Holding B.V. | Apparatus and method for etching metal nitrides |
KR20220045900A (en) | 2020-10-06 | 2022-04-13 | 에이에스엠 아이피 홀딩 비.브이. | Deposition method and an apparatus for depositing a silicon-containing material |
CN114293174A (en) | 2020-10-07 | 2022-04-08 | Asm Ip私人控股有限公司 | Gas supply unit and substrate processing apparatus including the same |
TW202229613A (en) | 2020-10-14 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing material on stepped structure |
KR20220050048A (en) | 2020-10-15 | 2022-04-22 | 에이에스엠 아이피 홀딩 비.브이. | Method of manufacturing semiconductor device, and substrate treatment apparatus using ether-cat |
TW202217037A (en) | 2020-10-22 | 2022-05-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of depositing vanadium metal, structure, device and a deposition assembly |
TW202223136A (en) | 2020-10-28 | 2022-06-16 | 荷蘭商Asm Ip私人控股有限公司 | Method for forming layer on substrate, and semiconductor processing system |
CN112397366B (en) * | 2020-11-05 | 2023-07-14 | 北京北方华创微电子装备有限公司 | Bearing device and semiconductor reaction chamber |
TW202229620A (en) | 2020-11-12 | 2022-08-01 | 特文特大學 | Deposition system, method for controlling reaction condition, method for depositing |
TW202229795A (en) | 2020-11-23 | 2022-08-01 | 荷蘭商Asm Ip私人控股有限公司 | A substrate processing apparatus with an injector |
TW202235649A (en) | 2020-11-24 | 2022-09-16 | 荷蘭商Asm Ip私人控股有限公司 | Methods for filling a gap and related systems and devices |
KR20220076343A (en) | 2020-11-30 | 2022-06-08 | 에이에스엠 아이피 홀딩 비.브이. | an injector configured for arrangement within a reaction chamber of a substrate processing apparatus |
US12255053B2 (en) | 2020-12-10 | 2025-03-18 | Asm Ip Holding B.V. | Methods and systems for depositing a layer |
TW202233884A (en) | 2020-12-14 | 2022-09-01 | 荷蘭商Asm Ip私人控股有限公司 | Method of forming structures for threshold voltage control |
US11946137B2 (en) | 2020-12-16 | 2024-04-02 | Asm Ip Holding B.V. | Runout and wobble measurement fixtures |
TW202232639A (en) | 2020-12-18 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Wafer processing apparatus with a rotatable table |
TW202226899A (en) | 2020-12-22 | 2022-07-01 | 荷蘭商Asm Ip私人控股有限公司 | Plasma treatment device having matching box |
TW202231903A (en) | 2020-12-22 | 2022-08-16 | 荷蘭商Asm Ip私人控股有限公司 | Transition metal deposition method, transition metal layer, and deposition assembly for depositing transition metal on substrate |
TW202242184A (en) | 2020-12-22 | 2022-11-01 | 荷蘭商Asm Ip私人控股有限公司 | Precursor capsule, precursor vessel, vapor deposition assembly, and method of loading solid precursor into precursor vessel |
JP7525394B2 (en) * | 2020-12-28 | 2024-07-30 | 東京エレクトロン株式会社 | Conveyor |
US20240203703A1 (en) * | 2021-04-19 | 2024-06-20 | Lam Research Corporation | Shadow ring alignment for substrate support |
USD981973S1 (en) | 2021-05-11 | 2023-03-28 | Asm Ip Holding B.V. | Reactor wall for substrate processing apparatus |
USD980813S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas flow control plate for substrate processing apparatus |
USD980814S1 (en) | 2021-05-11 | 2023-03-14 | Asm Ip Holding B.V. | Gas distributor for substrate processing apparatus |
USD1023959S1 (en) | 2021-05-11 | 2024-04-23 | Asm Ip Holding B.V. | Electrode for substrate processing apparatus |
CN115621109A (en) * | 2021-07-16 | 2023-01-17 | 长鑫存储技术有限公司 | Plasma treatment device |
USD990441S1 (en) | 2021-09-07 | 2023-06-27 | Asm Ip Holding B.V. | Gas flow control plate |
USD997894S1 (en) * | 2021-09-28 | 2023-09-05 | Applied Materials, Inc. | Shadow ring lift assembly |
USD997893S1 (en) * | 2021-09-28 | 2023-09-05 | Applied Materials, Inc. | Shadow ring lift plate |
USD1060598S1 (en) | 2021-12-03 | 2025-02-04 | Asm Ip Holding B.V. | Split showerhead cover |
US12371790B2 (en) * | 2022-08-17 | 2025-07-29 | Sky Tech Inc. | Wafer carrier with adjustable alignment devices and deposition equipment using the same |
CN116815140B (en) * | 2023-06-21 | 2024-03-26 | 北京北方华创微电子装备有限公司 | Semiconductor process equipment and its process chamber |
Citations (22)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5304248A (en) * | 1990-12-05 | 1994-04-19 | Applied Materials, Inc. | Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions |
US5326725A (en) * | 1993-03-11 | 1994-07-05 | Applied Materials, Inc. | Clamping ring and susceptor therefor |
US5328722A (en) * | 1992-11-06 | 1994-07-12 | Applied Materials, Inc. | Metal chemical vapor deposition process using a shadow ring |
US5352294A (en) * | 1993-01-28 | 1994-10-04 | White John M | Alignment of a shadow frame and large flat substrates on a support |
US5476548A (en) * | 1994-06-20 | 1995-12-19 | Applied Materials, Inc. | Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring |
US5516367A (en) * | 1993-04-05 | 1996-05-14 | Applied Materials, Inc. | Chemical vapor deposition chamber with a purge guide |
US5584936A (en) * | 1995-12-14 | 1996-12-17 | Cvd, Incorporated | Susceptor for semiconductor wafer processing |
US5632873A (en) * | 1995-05-22 | 1997-05-27 | Stevens; Joseph J. | Two piece anti-stick clamp ring |
US5769951A (en) * | 1990-07-16 | 1998-06-23 | Novellus Systems Inc | Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US5860640A (en) * | 1995-11-29 | 1999-01-19 | Applied Materials, Inc. | Semiconductor wafer alignment member and clamp ring |
US5888304A (en) * | 1996-04-02 | 1999-03-30 | Applied Materials, Inc. | Heater with shadow ring and purge above wafer surface |
US6040011A (en) * | 1998-06-24 | 2000-03-21 | Applied Materials, Inc. | Substrate support member with a purge gas channel and pumping system |
US6159299A (en) * | 1999-02-09 | 2000-12-12 | Applied Materials, Inc. | Wafer pedestal with a purge ring |
US6186092B1 (en) * | 1997-08-19 | 2001-02-13 | Applied Materials, Inc. | Apparatus and method for aligning and controlling edge deposition on a substrate |
US6231674B1 (en) * | 1994-02-23 | 2001-05-15 | Applied Materials, Inc. | Wafer edge deposition elimination |
US6355108B1 (en) * | 1999-06-22 | 2002-03-12 | Applied Komatsu Technology, Inc. | Film deposition using a finger type shadow frame |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6368450B2 (en) * | 1997-05-20 | 2002-04-09 | Tokyo Electron Limited | Processing apparatus |
US6375748B1 (en) * | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6511543B1 (en) * | 1997-12-23 | 2003-01-28 | Unaxis Balzers Aktiengesellschaft | Holding device |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
Family Cites Families (8)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5803977A (en) | 1992-09-30 | 1998-09-08 | Applied Materials, Inc. | Apparatus for full wafer deposition |
US5766365A (en) | 1994-02-23 | 1998-06-16 | Applied Materials, Inc. | Removable ring for controlling edge deposition in substrate processing apparatus |
JP3215599B2 (en) * | 1995-06-02 | 2001-10-09 | 東芝セラミックス株式会社 | Heat treatment substrate holder, heat treatment method and heat treatment apparatus |
US5863340A (en) | 1996-05-08 | 1999-01-26 | Flanigan; Allen | Deposition ring anti-rotation apparatus |
US5851180A (en) * | 1996-07-12 | 1998-12-22 | United States Surgical Corporation | Traction-inducing compression assembly for enhanced tissue imaging |
TW350983B (en) * | 1996-10-15 | 1999-01-21 | Applied Materials Inc | Wafer edge deposition elimination |
JP3796005B2 (en) * | 1997-05-15 | 2006-07-12 | アプライド マテリアルズ インコーポレイテッド | Mask apparatus and film forming apparatus |
JP3078506B2 (en) * | 1997-06-26 | 2000-08-21 | 芝浦メカトロニクス株式会社 | Electrostatic chuck device and mounting table |
-
1999
- 1999-12-10 US US09/459,313 patent/US6589352B1/en not_active Expired - Lifetime
-
2000
- 2000-12-08 TW TW089126296A patent/TW490715B/en not_active IP Right Cessation
- 2000-12-09 KR KR1020000074931A patent/KR20010062301A/en not_active Withdrawn
- 2000-12-11 SG SG200007307A patent/SG90764A1/en unknown
- 2000-12-11 EP EP00311016A patent/EP1106715A1/en not_active Withdrawn
- 2000-12-11 JP JP2000404081A patent/JP4669606B2/en not_active Expired - Fee Related
-
2003
- 2003-07-07 US US10/614,992 patent/US20040003780A1/en not_active Abandoned
-
2007
- 2007-10-10 US US11/870,285 patent/US8342119B2/en not_active Expired - Fee Related
Patent Citations (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5769951A (en) * | 1990-07-16 | 1998-06-23 | Novellus Systems Inc | Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus |
US5304248A (en) * | 1990-12-05 | 1994-04-19 | Applied Materials, Inc. | Passive shield for CVD wafer processing which provides frontside edge exclusion and prevents backside depositions |
US5328722A (en) * | 1992-11-06 | 1994-07-12 | Applied Materials, Inc. | Metal chemical vapor deposition process using a shadow ring |
US5352294A (en) * | 1993-01-28 | 1994-10-04 | White John M | Alignment of a shadow frame and large flat substrates on a support |
US5326725A (en) * | 1993-03-11 | 1994-07-05 | Applied Materials, Inc. | Clamping ring and susceptor therefor |
US5516367A (en) * | 1993-04-05 | 1996-05-14 | Applied Materials, Inc. | Chemical vapor deposition chamber with a purge guide |
US5882419A (en) * | 1993-04-05 | 1999-03-16 | Applied Materials, Inc. | Chemical vapor deposition chamber |
US6231674B1 (en) * | 1994-02-23 | 2001-05-15 | Applied Materials, Inc. | Wafer edge deposition elimination |
US5476548A (en) * | 1994-06-20 | 1995-12-19 | Applied Materials, Inc. | Reducing backside deposition in a substrate processing apparatus through the use of a shadow ring |
US5632873A (en) * | 1995-05-22 | 1997-05-27 | Stevens; Joseph J. | Two piece anti-stick clamp ring |
US5860640A (en) * | 1995-11-29 | 1999-01-19 | Applied Materials, Inc. | Semiconductor wafer alignment member and clamp ring |
US5584936A (en) * | 1995-12-14 | 1996-12-17 | Cvd, Incorporated | Susceptor for semiconductor wafer processing |
US5888304A (en) * | 1996-04-02 | 1999-03-30 | Applied Materials, Inc. | Heater with shadow ring and purge above wafer surface |
US5846332A (en) * | 1996-07-12 | 1998-12-08 | Applied Materials, Inc. | Thermally floating pedestal collar in a chemical vapor deposition chamber |
US6368450B2 (en) * | 1997-05-20 | 2002-04-09 | Tokyo Electron Limited | Processing apparatus |
US6186092B1 (en) * | 1997-08-19 | 2001-02-13 | Applied Materials, Inc. | Apparatus and method for aligning and controlling edge deposition on a substrate |
US6328808B1 (en) * | 1997-08-19 | 2001-12-11 | Applied Materials, Inc. | Apparatus and method for aligning and controlling edge deposition on a substrate |
US6511543B1 (en) * | 1997-12-23 | 2003-01-28 | Unaxis Balzers Aktiengesellschaft | Holding device |
US6040011A (en) * | 1998-06-24 | 2000-03-21 | Applied Materials, Inc. | Substrate support member with a purge gas channel and pumping system |
US6364954B2 (en) * | 1998-12-14 | 2002-04-02 | Applied Materials, Inc. | High temperature chemical vapor deposition chamber |
US6159299A (en) * | 1999-02-09 | 2000-12-12 | Applied Materials, Inc. | Wafer pedestal with a purge ring |
US6355108B1 (en) * | 1999-06-22 | 2002-03-12 | Applied Komatsu Technology, Inc. | Film deposition using a finger type shadow frame |
US6375748B1 (en) * | 1999-09-01 | 2002-04-23 | Applied Materials, Inc. | Method and apparatus for preventing edge deposition |
US6589352B1 (en) * | 1999-12-10 | 2003-07-08 | Applied Materials, Inc. | Self aligning non contact shadow ring process kit |
Cited By (52)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US20040046096A1 (en) * | 2002-09-11 | 2004-03-11 | Au Optronics Corp. | Support apparatus |
US20050105513A1 (en) * | 2002-10-27 | 2005-05-19 | Alan Sullivan | Systems and methods for direction of communication traffic |
US20070038755A1 (en) * | 2002-10-27 | 2007-02-15 | Alan Sullivan | Systems and methods for direction of communication traffic |
US20070038729A1 (en) * | 2003-05-05 | 2007-02-15 | Sullivan Alan T | Systems and methods for direction of communication traffic |
US8110045B2 (en) * | 2004-04-16 | 2012-02-07 | Tokyo Electron Limited | Processing equipment for object to be processed |
US20110048034A1 (en) * | 2004-04-16 | 2011-03-03 | Tokyo Electron Limited | Processing equipment for object to be processed |
US8801896B2 (en) | 2004-06-30 | 2014-08-12 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060000802A1 (en) * | 2004-06-30 | 2006-01-05 | Ajay Kumar | Method and apparatus for photomask plasma etching |
US20060000805A1 (en) * | 2004-06-30 | 2006-01-05 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US8349128B2 (en) | 2004-06-30 | 2013-01-08 | Applied Materials, Inc. | Method and apparatus for stable plasma processing |
US20060140182A1 (en) * | 2004-12-23 | 2006-06-29 | Michael Sullivan | Systems and methods for monitoring and controlling communication traffic |
US8568553B2 (en) | 2006-10-30 | 2013-10-29 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080099431A1 (en) * | 2006-10-30 | 2008-05-01 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7909961B2 (en) | 2006-10-30 | 2011-03-22 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US7943005B2 (en) | 2006-10-30 | 2011-05-17 | Applied Materials, Inc. | Method and apparatus for photomask plasma etching |
US20080099426A1 (en) * | 2006-10-30 | 2008-05-01 | Ajay Kumar | Method and apparatus for photomask plasma etching |
WO2009132181A3 (en) * | 2008-04-24 | 2010-02-18 | Applied Materials, Inc. | Low profile process kit |
KR101466588B1 (en) | 2008-04-24 | 2014-11-28 | 어플라이드 머티어리얼스, 인코포레이티드 | Low profile process kit |
TWI479594B (en) * | 2008-04-24 | 2015-04-01 | Applied Materials Inc | Low profile process kit |
US8409355B2 (en) | 2008-04-24 | 2013-04-02 | Applied Materials, Inc. | Low profile process kit |
US20090266299A1 (en) * | 2008-04-24 | 2009-10-29 | Applied Materials, Inc. | Low profile process kit |
US9082803B2 (en) | 2009-08-25 | 2015-07-14 | Canon Anelva Corporation | Substrate holding apparatus, mask alignment method, and vacuum processing apparatus using long taper pins and short taper pins for alignment |
US20110051115A1 (en) * | 2009-08-25 | 2011-03-03 | Canon Anelva Corporation | Substrate Holding Apparatus, Mask Alignment Method, and Vacuum Processing Apparatus |
US10227695B2 (en) * | 2009-12-31 | 2019-03-12 | Applied Materials, Inc. | Shadow ring for modifying wafer edge and bevel deposition |
US20110159211A1 (en) * | 2009-12-31 | 2011-06-30 | Applied Materials, Inc. | Shadow ring for modifying wafer edge and bevel deposition |
US11136665B2 (en) | 2009-12-31 | 2021-10-05 | Applied Materials, Inc. | Shadow ring for modifying wafer edge and bevel deposition |
US20120003388A1 (en) * | 2010-07-02 | 2012-01-05 | Applied Materials, Inc. | Methods and apparatus for thermal based substrate processing with variable temperature capability |
US8920564B2 (en) * | 2010-07-02 | 2014-12-30 | Applied Materials, Inc. | Methods and apparatus for thermal based substrate processing with variable temperature capability |
US20140137800A1 (en) * | 2012-11-22 | 2014-05-22 | Toyoda Gosei Co., Ltd. | Device for producing compound semiconductor and wafer retainer |
CN104733344A (en) * | 2013-12-18 | 2015-06-24 | 北京北方微电子基地设备工艺研究中心有限责任公司 | Edge protection device and plasma processing equipment |
CN110060941A (en) * | 2014-12-19 | 2019-07-26 | 朗姆研究公司 | Reduce the backside deposition in Waffer edge |
US20160196995A1 (en) * | 2015-01-05 | 2016-07-07 | Kabushiki Kaisha Toshiba | Semiconductor manufacturing apparatus and semiconductor manufacturing method |
US9966248B2 (en) * | 2015-01-05 | 2018-05-08 | Toshiba Memory Corporation | Semiconductor manufacturing apparatus and semiconductor manufacturing method |
US20160307742A1 (en) * | 2015-04-17 | 2016-10-20 | Applied Materials, Inc. | Edge ring for bevel polymer reduction |
CN106057616A (en) * | 2015-04-17 | 2016-10-26 | 应用材料公司 | Edge ring for bevel polymer reduction |
WO2016167852A1 (en) * | 2015-04-17 | 2016-10-20 | Applied Materials, Inc. | Edge ring for bevel polymer reduction |
US10903055B2 (en) * | 2015-04-17 | 2021-01-26 | Applied Materials, Inc. | Edge ring for bevel polymer reduction |
TWI686861B (en) * | 2015-04-17 | 2020-03-01 | 美商應用材料股份有限公司 | Edge ring for bevel polymer reduction |
US10648071B2 (en) | 2016-11-19 | 2020-05-12 | Applied Materials, Inc. | Process kit having a floating shadow ring |
WO2018094024A1 (en) * | 2016-11-19 | 2018-05-24 | Applied Materials, Inc. | Process kit having a floating shadow ring |
KR102474786B1 (en) | 2016-11-19 | 2022-12-05 | 어플라이드 머티어리얼스, 인코포레이티드 | Process kit with floating shadow ring |
KR20190075163A (en) * | 2016-11-19 | 2019-06-28 | 어플라이드 머티어리얼스, 인코포레이티드 | Process Kit with Floating Shadow Ring |
US11417562B2 (en) | 2017-06-23 | 2022-08-16 | Jusung Engineering Co., Ltd. | Substrate supporting apparatus |
WO2018236201A1 (en) * | 2017-06-23 | 2018-12-27 | 주성엔지니어링(주) | Substrate supporting apparatus |
CN110506326A (en) * | 2017-07-24 | 2019-11-26 | 朗姆研究公司 | Removable edge ring design |
US20190048467A1 (en) * | 2017-08-10 | 2019-02-14 | Applied Materials, Inc. | Showerhead and process chamber incorporating same |
WO2019168616A1 (en) * | 2018-03-01 | 2019-09-06 | Varian Semiconductor Equipment Associates, Inc. | Substrate halo arrangement for improved process uniformity |
US20240084453A1 (en) * | 2021-05-21 | 2024-03-14 | Beijing Naura Microelectronics Equipment Co., Ltd. | Carrier device and semiconductor processing equipment |
US12106943B2 (en) | 2021-05-25 | 2024-10-01 | Applied Materials, Inc. | Substrate halo arrangement for improved process uniformity |
WO2023023023A1 (en) * | 2021-08-19 | 2023-02-23 | Applied Materials, Inc. | Purge ring for pedestal assembly |
US11976363B2 (en) | 2021-08-19 | 2024-05-07 | Applied Materials, Inc. | Purge ring for pedestal assembly |
WO2024015519A1 (en) * | 2022-07-14 | 2024-01-18 | Applied Materials, Inc. | Purge ring for reduced substrate backside deposition |
Also Published As
Publication number | Publication date |
---|---|
SG90764A1 (en) | 2002-08-20 |
US6589352B1 (en) | 2003-07-08 |
EP1106715A1 (en) | 2001-06-13 |
JP2001274104A (en) | 2001-10-05 |
US20080072823A1 (en) | 2008-03-27 |
US8342119B2 (en) | 2013-01-01 |
JP4669606B2 (en) | 2011-04-13 |
TW490715B (en) | 2002-06-11 |
KR20010062301A (en) | 2001-07-07 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
US8342119B2 (en) | Self aligning non contact shadow ring process kit | |
US6375748B1 (en) | Method and apparatus for preventing edge deposition | |
US6521292B1 (en) | Substrate support including purge ring having inner edge aligned to wafer edge | |
US11136665B2 (en) | Shadow ring for modifying wafer edge and bevel deposition | |
US6033480A (en) | Wafer edge deposition elimination | |
US5888304A (en) | Heater with shadow ring and purge above wafer surface | |
US5326725A (en) | Clamping ring and susceptor therefor | |
JP5073631B2 (en) | Movable ring to control edge deposition in substrate processing equipment | |
US6374508B1 (en) | Apparatus and method for aligning a substrate on a support member | |
EP0688888B1 (en) | Apparatus and method for substrate processing | |
US6186092B1 (en) | Apparatus and method for aligning and controlling edge deposition on a substrate | |
JPH08236451A (en) | Control of edge film formation on semiconductor substrate | |
EP1134791A2 (en) | Shadow ring with common guide member |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
AS | Assignment |
Owner name: APPLIED MATERIALS, INC., CALIFORNIA Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:YUDOVSKY, JOSEPH;LEI, LAWRENCE C.;UMOTOY, SALVADOR;AND OTHERS;REEL/FRAME:014279/0426 Effective date: 20000208 |
|
STCB | Information on status: application discontinuation |
Free format text: ABANDONED -- AFTER EXAMINER'S ANSWER OR BOARD OF APPEALS DECISION |