[go: up one dir, main page]

CN117790422A - Dielectric layer for nanoplatelet protection and method of forming the same - Google Patents

Dielectric layer for nanoplatelet protection and method of forming the same Download PDF

Info

Publication number
CN117790422A
CN117790422A CN202310428920.2A CN202310428920A CN117790422A CN 117790422 A CN117790422 A CN 117790422A CN 202310428920 A CN202310428920 A CN 202310428920A CN 117790422 A CN117790422 A CN 117790422A
Authority
CN
China
Prior art keywords
layer
dielectric
stack
semiconductor
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202310428920.2A
Other languages
Chinese (zh)
Inventor
林政颐
陈书涵
徐志安
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US18/154,975 external-priority patent/US20240170563A1/en
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN117790422A publication Critical patent/CN117790422A/en
Pending legal-status Critical Current

Links

Landscapes

  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本公开涉及用于纳米片保护的电介质层及其形成方法。一个器件包括栅极堆叠和堆叠结构,栅极堆叠具有顶部,堆叠结构位于栅极堆叠的顶部的下方。堆叠结构包括多个半导体纳米结构,多个半导体纳米结构中的上部纳米结构与相应的下部纳米结构重叠。堆叠结构还包括多个栅极结构,每个栅极结构包括栅极堆叠的下部。多个栅极结构中的每个栅极结构位于多个半导体纳米结构中的两个半导体纳米结构之间。电介质层在堆叠结构的顶表面和侧壁上延伸。该电介质层包括下子层和上子层,下子层包括第一电介质材料,上子层在下子层之上并且由不同于第一电介质材料的第二电介质材料形成。栅极间隔件位于电介质层上。源极/漏极区域位于栅极堆叠的旁边。

The present disclosure relates to a dielectric layer for nanosheet protection and a method for forming the same. A device includes a gate stack and a stack structure, the gate stack having a top, and the stack structure is located below the top of the gate stack. The stack structure includes a plurality of semiconductor nanostructures, and an upper nanostructure in the plurality of semiconductor nanostructures overlaps with a corresponding lower nanostructure. The stack structure also includes a plurality of gate structures, each gate structure including a lower portion of the gate stack. Each gate structure in the plurality of gate structures is located between two semiconductor nanostructures in the plurality of semiconductor nanostructures. A dielectric layer extends over a top surface and sidewalls of the stack structure. The dielectric layer includes a lower sublayer and an upper sublayer, the lower sublayer including a first dielectric material, and the upper sublayer is above the lower sublayer and is formed of a second dielectric material different from the first dielectric material. A gate spacer is located on the dielectric layer. A source/drain region is located next to the gate stack.

Description

用于纳米片保护的电介质层及其形成方法Dielectric layer for nanosheet protection and method for forming the same

技术领域Technical field

本公开涉及用于纳米片保护的电介质层及其形成方法。The present disclosure relates to dielectric layers for nanosheet protection and methods of forming the same.

背景技术Background technique

半导体器件被用于各种电子应用中,例如,个人计算机、蜂窝电话、数码相机、和其他电子设备。半导体器件通常通过以下方式来制造:在半导体衬底之上按顺序沉积材料的绝缘或电介质层、导电层和半导体层,并且使用光刻对各种材料层进行图案化以在其上形成电路组件和元件。Semiconductor devices are used in a variety of electronic applications, such as personal computers, cellular phones, digital cameras, and other electronic devices. Semiconductor devices are typically fabricated by sequentially depositing insulating or dielectric, conductive, and semiconductor layers of materials over a semiconductor substrate and patterning the various material layers using photolithography to form circuit components thereon. and components.

半导体行业通过不断减小最小特征尺寸来持续改进各种电子组件(例如,晶体管、二极管、电阻器、电容器等)的集成密度,这允许更多组件被集成到给定面积中。然而,随着最小特征尺寸的减小,出现了需要解决的其它问题。The semiconductor industry continues to improve the integration density of various electronic components (e.g., transistors, diodes, resistors, capacitors, etc.) by continuously reducing minimum feature sizes, which allows more components to be integrated into a given area. However, as the minimum feature size decreases, other issues arise that need to be addressed.

发明内容Contents of the invention

根据本公开的一个实施例,提供了一种形成半导体器件的方法,包括:形成突出半导体堆叠,所述半导体堆叠包括:多个牺牲层;以及多个纳米结构,其中,所述多个牺牲层和所述多个纳米结构交替布置;在所述突出半导体堆叠的侧壁和顶表面上沉积电介质层,其中,所述电介质层包括:下子层;以及上子层,在所述下子层之上,其中,所述下子层和所述上子层包括不同的电介质材料;在所述电介质层上形成虚设栅极电极层;对所述虚设栅极电极层进行图案化以形成虚设栅极电极,其中,所述电介质层用作蚀刻停止层;在所述虚设栅极电极的附加侧壁上形成栅极间隔件;去除所述虚设栅极电极;蚀刻所述电介质层以显露所述突出半导体堆叠;去除所述多个牺牲层;以及形成替代栅极堆叠,所述替代栅极堆叠填充由被去除的虚设栅极电极和被去除的多个牺牲层留下的空间。According to one embodiment of the present disclosure, a method for forming a semiconductor device is provided, comprising: forming a protruding semiconductor stack, the semiconductor stack comprising: a plurality of sacrificial layers; and a plurality of nanostructures, wherein the plurality of sacrificial layers and the plurality of nanostructures are arranged alternately; depositing a dielectric layer on the sidewalls and top surface of the protruding semiconductor stack, wherein the dielectric layer comprises: a lower sublayer; and an upper sublayer, above the lower sublayer, wherein the lower sublayer and the upper sublayer comprise different dielectric materials; forming a dummy gate electrode layer on the dielectric layer; patterning the dummy gate electrode layer to form a dummy gate electrode, wherein the dielectric layer serves as an etch stop layer; forming a gate spacer on an additional sidewall of the dummy gate electrode; removing the dummy gate electrode; etching the dielectric layer to reveal the protruding semiconductor stack; removing the plurality of sacrificial layers; and forming a replacement gate stack, wherein the replacement gate stack fills the space left by the removed dummy gate electrode and the removed plurality of sacrificial layers.

根据本公开的另一实施例,提供了一种半导体器件,包括:栅极堆叠,包括顶部;堆叠结构,位于所述栅极堆叠的顶部的下方,所述堆叠结构包括:多个半导体纳米结构,所述多个半导体纳米结构中的上纳米结构与所述多个半导体纳米结构中的下纳米结构重叠;以及多个栅极结构,每个栅极结构包括所述栅极堆叠的下部,其中,所述多个栅极结构中的每个栅极结构位于所述多个半导体纳米结构中的两个半导体纳米结构之间;电介质层,在所述堆叠结构的顶表面和侧壁上延伸,其中,所述电介质层包括:下子层,包括第一电介质材料;以及上子层,在所述下子层之上,其中,所述上子层包括不同于所述第一电介质材料的第二电介质材料;栅极间隔件,在所述电介质层上;以及源极/漏极区域,位于所述栅极堆叠的旁边。According to another embodiment of the present disclosure, a semiconductor device is provided, including: a gate stack including a top; and a stack structure located below the top of the gate stack, the stack structure including: a plurality of semiconductor nanostructures , an upper nanostructure of the plurality of semiconductor nanostructures overlaps a lower nanostructure of the plurality of semiconductor nanostructures; and a plurality of gate structures, each gate structure including a lower portion of the gate stack, wherein , each gate structure of the plurality of gate structures is located between two semiconductor nanostructures of the plurality of semiconductor nanostructures; a dielectric layer extends on the top surface and sidewalls of the stacked structure, Wherein, the dielectric layer includes: a lower sub-layer including a first dielectric material; and an upper sub-layer above the lower sub-layer, wherein the upper sub-layer includes a second dielectric different from the first dielectric material. material; a gate spacer on the dielectric layer; and a source/drain region next to the gate stack.

根据本公开的又一实施例,提供了一种半导体器件,包括:半导体衬底;第一电介质隔离区域和第二电介质隔离区域,包括在所述半导体衬底中的至少一些部分;突出结构,突出高于所述电介质隔离区域的顶表面,其中,所述突出结构横向地位于所述第一电介质隔离区域和所述第二电介质隔离区域之间,并且其中,所述突出结构包括:多个半导体层;以及多个栅极堆叠部分,其中,所述多个半导体层和所述多个栅极堆叠部分交替放置;多个内部间隔件,包括多个对,每个对位于所述多个栅极堆叠部分中的一个栅极堆叠部分的相反侧上;以及电介质层,包括:顶部,在所述突出结构之上,其中,所述顶部具有第一厚度;以及侧壁部分,与所述多个内部间隔件中的一个内部间隔件接触,其中,所述侧壁部分具有不同于所述第一厚度的第二厚度。According to another embodiment of the present disclosure, a semiconductor device is provided, comprising: a semiconductor substrate; a first dielectric isolation region and a second dielectric isolation region, including at least some portions in the semiconductor substrate; a protruding structure protruding above the top surface of the dielectric isolation region, wherein the protruding structure is laterally located between the first dielectric isolation region and the second dielectric isolation region, and wherein the protruding structure comprises: a plurality of semiconductor layers; and a plurality of gate stack portions, wherein the plurality of semiconductor layers and the plurality of gate stack portions are alternately arranged; a plurality of internal spacers, comprising a plurality of pairs, each pair being located on opposite sides of one of the plurality of gate stack portions; and a dielectric layer, comprising: a top portion, above the protruding structure, wherein the top portion has a first thickness; and a sidewall portion, in contact with one of the plurality of internal spacers, wherein the sidewall portion has a second thickness different from the first thickness.

附图说明Description of the drawings

在结合附图阅读时,可以从下面的具体实施方式中最佳地理解本公开的各方面。应注意,根据本行业中的标准实践,各种特征未按比例绘制。事实上,为了讨论的清楚起见,各种特征的尺寸可以被任意地增加或减小。Aspects of the present disclosure can best be understood from the following detailed description when read in conjunction with the accompanying drawings. It should be noted that, in accordance with standard practice in the industry, various features are not drawn to scale. In fact, the dimensions of the various features may be arbitrarily increased or reduced for clarity of discussion.

图1至图3、图4A、图4B、图5至图7、图8A、图8B、图8C、图8D、图8E、图9A、图9B、图10A、图10B、图10C、图11A、图11B、图11C、图12A、图12B、图13A、图13B、图13C、图13D、图13E、图14A、图14B、图15A、图15B、图15C和图15D示出了根据一些实施例的形成栅极全环绕(GAA)晶体管时中间阶段的透视图、横截面图和俯视图。Figures 1 to 3, Figure 4A, Figure 4B, Figure 5 to Figure 7, Figure 8A, Figure 8B, Figure 8C, Figure 8D, Figure 8E, Figure 9A, Figure 9B, Figure 10A, Figure 10B, Figure 10C, Figure 11A , Figure 11B, Figure 11C, Figure 12A, Figure 12B, Figure 13A, Figure 13B, Figure 13C, Figure 13D, Figure 13E, Figure 14A, Figure 14B, Figure 15A, Figure 15B, Figure 15C and Figure 15D illustrate some Perspective, cross-sectional and top views of intermediate stages in forming a gate all around (GAA) transistor according to an embodiment.

图16示出了根据一些实施例的用于形成GAA晶体管的工艺流程。Figure 16 illustrates a process flow for forming GAA transistors in accordance with some embodiments.

具体实施方式Detailed ways

下面的公开内容提供了用于实施本发明的不同特征的许多不同的实施例或示例。下文描述了组件和布置的具体实例以简化本公开。当然,这些仅是示例,而不是意图进行限制。例如,在下面的描述中,在第二特征之上或第二特征上形成第一特征可以包括第一特征和第二特征以直接接触方式形成的实施例,并且还可以包括附加特征可以在第一特征和第二特征之间形成,使得第一特征和第二特征可能不直接接触的实施例。此外,本公开在各个示例中可以重复附图标记和/或字母。该重复是出于简单和清楚的目的,并且本身不指示所讨论的各种实施例和/或配置之间的关系。The following disclosure provides many different embodiments or examples for implementing different features of the invention. Specific examples of components and arrangements are described below to simplify the present disclosure. Of course, these are examples only and are not intended to be limiting. For example, in the following description, forming a first feature on or over a second feature may include embodiments in which the first feature and the second feature are formed in direct contact, and may also include embodiments in which additional features may be formed on the second feature. Embodiments in which one feature and a second feature are formed so that the first feature and the second feature may not be in direct contact. Furthermore, this disclosure may repeat reference numbers and/or letters in various examples. This repetition is for simplicity and clarity and does not inherently indicate a relationship between the various embodiments and/or configurations discussed.

此外,本文中空间相关术语(例如,“下方”、“之下”、“较低”、“以上”、“较高”等),以易于描述图中示出的一个要素或特征相对于另外(一个或多个)要素或(一个或多个)特征的关系。这些空间相关术语还意在涵盖器件在使用或操作中除了图中示出的方向之外的不同方向。装置可以朝向其他方向(旋转90度或处于其他方向),并且本文使用的空间相关描述符可以类似地进行相应解释。Additionally, spatially relative terms are used herein (e.g., “below,” “beneath,” “lower,” “above,” “higher,” etc.) to readily describe the relative position of one element or feature illustrated in the figures to another. A relationship between (one or more) elements or (one or more) features. These spatially relative terms are also intended to encompass different orientations of the device in use or operation in addition to the orientation illustrated in the figures. The device may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein interpreted similarly.

提供了具有改进的虚设栅极电介质的栅极全环绕(Gate-All-Around,GAA)晶体管及其形成方法。根据一些实施例,GAA晶体管的形成包括:沉积复合虚设栅极电介质,该复合虚设栅极电介质包括由不同电介质材料形成的两个或多个层,从而减少对下方的硅纳米结构的损坏。虚设栅电介质可以是非共形层,其具有厚度大于侧壁部分的厚度的顶部。本文讨论的实施例的目的是提供示例以使得能够制作或使用本公开的主题,并且本领域普通技术人员将容易地理解保持在不同实施例的预期范围内的同时能够进行的修改。在各种视图和说明性实施例中,相同的附图标记用于表示相同的元素。虽然方法实施例可以被讨论为以特定顺序执行,但是其他方法实施例可以以任何逻辑顺序执行。Gate-All-Around (GAA) transistors with improved dummy gate dielectrics and methods of forming the same are provided. According to some embodiments, formation of the GAA transistor includes depositing a composite dummy gate dielectric that includes two or more layers formed of different dielectric materials, thereby reducing damage to underlying silicon nanostructures. The dummy gate dielectric may be a non-conformal layer having a top that is thicker than the sidewall portions. The embodiments discussed herein are intended to provide examples of enabling making or using the disclosed subject matter, and those of ordinary skill in the art will readily appreciate the modifications that can be made while remaining within the intended scope of the various embodiments. The same reference numbers are used to refer to the same elements throughout the various views and illustrative embodiments. Although method embodiments may be discussed as being performed in a particular order, other method embodiments may be performed in any logical order.

图1至图3、图4A、图4B、图5至图7、图8A、图8B、图8C、图8D、图8E、图9A、图9B、图10A、图10B、图10C、图11A、图11B、图11C、图12A、图12B、图13A、图13B、图13C、图13D、图13E、图14A、图14B、图15A、图15B、图15C和图15D示出了根据一些实施例的形成GAA晶体管时中间阶段的透视图、横截面图和俯视图。对应的工艺也示意性地反映在图16示出的工艺流程中。Figures 1 to 3, Figure 4A, Figure 4B, Figure 5 to Figure 7, Figure 8A, Figure 8B, Figure 8C, Figure 8D, Figure 8E, Figure 9A, Figure 9B, Figure 10A, Figure 10B, Figure 10C, Figure 11A , Figure 11B, Figure 11C, Figure 12A, Figure 12B, Figure 13A, Figure 13B, Figure 13C, Figure 13D, Figure 13E, Figure 14A, Figure 14B, Figure 15A, Figure 15B, Figure 15C and Figure 15D illustrate some Perspective, cross-sectional and top views of intermediate stages of forming a GAA transistor according to the embodiment. The corresponding process is also schematically reflected in the process flow shown in FIG. 16 .

参考图1,示出了包括衬底20的晶圆10的透视图。包括多层堆叠22的多层结构被形成在衬底20上。根据一些实施例,衬底20是(或包括)半导体衬底,其可以是硅衬底、硅锗(SiGe)衬底等,但是可以使用其它衬底和/或结构,例如,绝缘体上半导体(SOI)、应变SOI、绝缘体上硅锗等。衬底20可以被掺杂为p型半导体,但是在其它实施例中,其可以被掺杂为n型半导体。Referring to FIG. 1 , a perspective view of wafer 10 including substrate 20 is shown. A multilayer structure including multilayer stack 22 is formed on substrate 20 . According to some embodiments, substrate 20 is (or includes) a semiconductor substrate, which may be a silicon substrate, a silicon germanium (SiGe) substrate, or the like, although other substrates and/or structures may be used, such as semiconductor-on-insulator (SiGe) substrates. SOI), strained SOI, silicon germanium on insulator, etc. Substrate 20 may be doped as a p-type semiconductor, but in other embodiments it may be doped as an n-type semiconductor.

根据一些实施例,通过用于沉积交替材料的一系列外延工艺来形成多层堆叠22。相应的工艺在图16中示出的工艺流程200中被示出为工艺202。根据一些实施例,多层堆叠22包括第一层22A和第二层22B,第一层22A由第一半导体材料形成,第二层22B由不同于第一半导体材料的第二半导体材料形成。因为外延,所以第一层22A和第二层22B具有与衬底20相同的晶格方向。According to some embodiments, the multilayer stack 22 is formed by a series of epitaxial processes for depositing alternating materials. The corresponding process is shown as process 202 in the process flow 200 shown in Figure 16. According to some embodiments, the multilayer stack 22 includes a first layer 22A and a second layer 22B, the first layer 22A is formed of a first semiconductor material and the second layer 22B is formed of a second semiconductor material different from the first semiconductor material. Because of epitaxy, the first layer 22A and the second layer 22B have the same lattice direction as the substrate 20.

根据一些实施例,第一半导体材料的第一层22A由下列项形成或包括下列项:SiGe、Ge、Si、GaAs、InSb、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb等形成或包括SiGe、Ge、Si、GaAs、InSb、GaSb、InAlAs、InGaAs、GaSbP、GaAsSb等。根据一些实施例,第一层22A(例如,SiGe)的沉积是通过外延生长,并且对应的沉积方法可以是气相外延(VPE)、分子束外延(MBE)、化学气相沉积(CVD)、低压CVD(LPCVD)、原子层沉积(ALD)、超高真空CVD(UHVCVD)、减压CVD(RPCVD)等。根据一些实施例,第一层22A被形成为在约和约/>之间的范围内的第一厚度。然而,可以使用任何合适的厚度而保持在实施例的范围内。According to some embodiments, the first layer 22A of the first semiconductor material is formed from or includes SiGe, Ge, Si, GaAs, InSb, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, etc. Si, GaAs, InSb, GaSb, InAlAs, InGaAs, GaSbP, GaAsSb, etc. According to some embodiments, the deposition of the first layer 22A (eg, SiGe) is by epitaxial growth, and the corresponding deposition method may be vapor phase epitaxy (VPE), molecular beam epitaxy (MBE), chemical vapor deposition (CVD), low pressure CVD (LPCVD), atomic layer deposition (ALD), ultra-high vacuum CVD (UHVCVD), reduced pressure CVD (RPCVD), etc. According to some embodiments, first layer 22A is formed at about Peace Treaty/> The first thickness in the range between. However, any suitable thickness may be used while remaining within the scope of the embodiments.

一旦第一层22A已经被沉积在衬底20之上,则第二层22B沉积在第一层22A之上。根据一些实施例,第二层22B由第二半导体材料形成或包括第二半导体材料,例如,Si、SiGe、Ge、GaAs、InSb、GasB、InAlAs、InGaAs、GasB、GaAsSB、及其组合等,并且第二半导体材料不同于第一层22A的第一半导体材料。例如,根据其中第一层22A是硅锗的一些实施例,第二层22B可以由硅形成,反之亦然。应当理解,任何合适的材料组合可以用于第一层22A和第二层22B。Once the first layer 22A has been deposited on the substrate 20, the second layer 22B is deposited on the first layer 22A. According to some embodiments, the second layer 22B is formed of or includes a second semiconductor material, such as Si, SiGe, Ge, GaAs, InSb, GasB, InAlAs, InGaAs, GasB, GaAsSB, and combinations thereof, and the second semiconductor material is different from the first semiconductor material of the first layer 22A. For example, according to some embodiments in which the first layer 22A is silicon germanium, the second layer 22B can be formed of silicon, and vice versa. It should be understood that any suitable material combination can be used for the first layer 22A and the second layer 22B.

根据一些实施例,使用与用于形成第一层22A的沉积技术类似的沉积技术,第二层22B被外延生长在第一层22A上。根据一些实施例,第二层22B形成为与第一层22A相似的厚度。第二层22B也可以形成为不同于第一层22A的厚度。According to some embodiments, second layer 22B is epitaxially grown on first layer 22A using a deposition technique similar to that used to form first layer 22A. According to some embodiments, second layer 22B is formed to a similar thickness as first layer 22A. The second layer 22B may also be formed to a thickness different from the first layer 22A.

一旦第二层22B已被形成在第一层22A之上,则沉积工艺被重复以形成多层堆叠22中的剩余层,直到多层堆叠22的期望的最顶层已形成为止。根据一些实施例,第一层22A具有彼此相同或相似的厚度,并且第二层22B具有彼此相同或相似的厚度。第一层22A也可以具有与第二层22B相同或不同于第二层22B的厚度。根据一些实施例,第一层22A在随后的工艺中被去除,并且在整个说明书中替代地被称为牺牲层22A。根据替代实施例,第二层22B被牺牲,并且在随后的工艺中被去除。Once the second layer 22B has been formed over the first layer 22A, the deposition process is repeated to form the remaining layers in the multi-layer stack 22 until the desired topmost layer of the multi-layer stack 22 has been formed. According to some embodiments, the first layers 22A have the same or similar thicknesses to each other, and the second layers 22B have the same or similar thicknesses to each other. The first layer 22A may also have the same or a different thickness than the second layer 22B. According to some embodiments, first layer 22A is removed in a subsequent process and is instead referred to as sacrificial layer 22A throughout this specification. According to an alternative embodiment, second layer 22B is sacrificed and removed in a subsequent process.

根据一些实施例,衬垫氧化物层12和硬掩模14被形成在多层堆叠22之上。衬垫氧化物层12可以包括氧化硅、碳化硅等,而硬掩模层14可以包括氮化硅,并且其它材料可以被使用。衬垫氧化物层12和硬掩模层14被图案化以形成多个细长条带,其也被称为衬垫氧化物和硬掩模。According to some embodiments, pad oxide layer 12 and hard mask 14 are formed over multilayer stack 22 . Pad oxide layer 12 may include silicon oxide, silicon carbide, etc., while hard mask layer 14 may include silicon nitride, and other materials may be used. Pad oxide layer 12 and hard mask layer 14 are patterned to form a plurality of elongated strips, which are also referred to as pad oxide and hard mask.

参考图2,下方的衬底20的一部分和多层堆叠22在(一个或多个)蚀刻工艺中被图案化,使得沟槽(填充有隔离区域26)被形成。沟槽延伸到衬底20中。多层堆叠的剩余部分在下文中被称为多层堆叠22’。相应的工艺在图16中示出的工艺流程200中被示出为工艺204。下方的多层堆叠22’、衬底20的一些部分被留下,并且在下文中被称为衬底条带20’。多层堆叠22’包括半导体层22A和半导体层22B。半导体层22A替代地被称为牺牲层,并且半导体层22B在下文中替代地被称为纳米结构。多层堆叠22’和下方的衬底条带20’的部分被统称为半导体条带27。Referring to FIG. 2 , a portion of underlying substrate 20 and multilayer stack 22 are patterned in an etching process(es) such that trenches (filled with isolation regions 26 ) are formed. The trench extends into substrate 20 . The remainder of the multi-layer stack is referred to below as multi-layer stack 22'. The corresponding process is shown as process 204 in the process flow 200 shown in FIG. 16 . Below the multilayer stack 22', some portion of the substrate 20 is left behind and is referred to below as substrate strip 20'. Multilayer stack 22' includes semiconductor layer 22A and semiconductor layer 22B. Semiconductor layer 22A is alternatively referred to as a sacrificial layer, and semiconductor layer 22B is alternatively referred to as a nanostructure below. Parts of the multilayer stack 22' and the underlying substrate strip 20' are collectively referred to as semiconductor strips 27.

在上述实施例中,GAA晶体管结构可以通过任何合适的方法被图案化。例如,可以使用一个或多个光刻工艺(包括双图案化工艺或多图案化工艺)来图案化这些结构。通常,双图案化工艺或多图案化工艺组合了光刻工艺和自对准工艺,从而允许产生例如间距小于使用单个直接光刻工艺可获得的间距的图案。例如,在一个实施例中,牺牲层被形成在衬底之上,并且使用光刻工艺来图案化。使用自对准工艺沿着图案化牺牲层形成间隔件。然后牺牲层被去除,并且然后剩余的间隔件可以用于图案化GAA结构。In the embodiments described above, the GAA transistor structures may be patterned by any suitable method. For example, these structures may be patterned using one or more photolithography processes, including dual or multi-patterning processes. Typically, a dual-patterning process or a multi-patterning process combines a photolithography process and a self-alignment process, allowing for the creation of patterns with, for example, smaller pitches than achievable using a single direct photolithography process. For example, in one embodiment, a sacrificial layer is formed over a substrate and patterned using a photolithography process. Spacers are formed along the patterned sacrificial layer using a self-aligned process. The sacrificial layer is then removed, and the remaining spacers can then be used to pattern the GAA structure.

接下来,隔离区域26被形成,隔离区域26在整个说明书中也可以被称为浅沟槽隔离(STI)区域。相应的工艺在图16中示出的工艺流程200中被示出为工艺206。STI区域26可以包括衬里氧化物(未示出),该衬里氧化物可以是通过对衬底20的表面层进行热氧化而形成的热氧化物。衬里氧化物也可以是使用例如ALD、高密度等离子体化学气相沉积(HDPCVD)、CVD等形成的被沉积的氧化硅层。STI区域26还可以包括在衬里氧化物之上的电介质材料,其中,该电介质材料可以使用可流动化学汽相沉积(FCVD)、旋涂、HDPVCD等形成。然后平坦化工艺(例如,化学机械抛光(CMP)工艺或机械研磨工艺)可以被执行以使电介质材料的顶表面例如与硬掩模层14的顶表面齐平,并且电介质材料的剩余部分是STI区域26。Next, isolation regions 26 are formed, which may also be referred to as shallow trench isolation (STI) regions throughout this specification. The corresponding process is shown as process 206 in the process flow 200 shown in FIG. 16 . STI region 26 may include a lining oxide (not shown), which may be a thermal oxide formed by thermally oxidizing a surface layer of substrate 20 . The lining oxide may also be a deposited silicon oxide layer formed using, for example, ALD, high density plasma chemical vapor deposition (HDPCVD), CVD, or the like. STI region 26 may also include a dielectric material over the liner oxide, where the dielectric material may be formed using flowable chemical vapor deposition (FCVD), spin coating, HDPVCD, or the like. A planarization process (eg, a chemical mechanical polishing (CMP) process or a mechanical grinding process) may then be performed such that the top surface of the dielectric material is flush with, for example, the top surface of hard mask layer 14 and the remaining portion of the dielectric material is STI Area 26.

参考图3,STI区域26被凹陷,使得半导体条带24的顶部突出高于STI区域26的剩余部分的顶表面26T,以形成突出鳍(结构)28(也被称为纳米片)。相应的工艺在图16中示出的工艺流程200中被示出为工艺208。突出鳍28包括衬底条带20’的一些顶部和多层堆叠22’。STI区域26的凹陷可以通过干法蚀刻工艺来执行,其中,例如NF3和NH3被用作蚀刻气体。在蚀刻工艺期间,可以产生等离子体。也可以包括氩气。根据本公开的替代实施例,通过湿法蚀刻工艺来执行STI区域26的凹陷。例如,蚀刻化学品可以包括HF。衬垫氧化物层12和硬掩模14被去除。3 , the STI region 26 is recessed so that the top of the semiconductor strip 24 protrudes above the top surface 26T of the remaining portion of the STI region 26 to form a protruding fin (structure) 28 (also referred to as a nanosheet). The corresponding process is shown as process 208 in the process flow 200 shown in FIG. 16 . The protruding fin 28 includes some of the top of the substrate strip 20 'and the multilayer stack 22 '. The recessing of the STI region 26 can be performed by a dry etching process, wherein, for example, NF 3 and NH 3 are used as etching gases. During the etching process, plasma can be generated. Argon gas can also be included. According to an alternative embodiment of the present disclosure, the recessing of the STI region 26 is performed by a wet etching process. For example, the etching chemical can include HF. The pad oxide layer 12 and the hard mask 14 are removed.

参考图4A和图4B,电介质层32被沉积在突出鳍28的侧壁和顶表面上,以及STI区域26的顶表面上。相应的工艺在图16示出的工艺流程200中被示出为工艺210。图4A示出了透视图,图4B示出了图4A中示出的竖直横截面4B-4B。根据一些实施例,电介质层32是单(同质)层,整个电介质层32由相同的材料形成并且具有相同的成分。在整个说明书中,当两个层被称为具有相同的成分时,其表示两个层具有相同的元素,并且两个层中对应元素的百分比彼此相同。相反地,当两个层被称为具有不同的成分时,其表示两个层中的至少一个层具有不在另一个层中的至少一个元素,或两个层具有相同的元素,但是两个层中元素的百分比彼此不同。Referring to FIGS. 4A and 4B , dielectric layer 32 is deposited on the sidewalls and top surfaces of protruding fins 28 , as well as the top surface of STI region 26 . The corresponding process is shown as process 210 in the process flow 200 shown in FIG. 16 . Figure 4A shows a perspective view and Figure 4B shows the vertical cross-section 4B-4B shown in Figure 4A. According to some embodiments, dielectric layer 32 is a single (homogeneous) layer, with the entire dielectric layer 32 being formed from the same material and having the same composition. Throughout this specification, when two layers are referred to as having the same composition, this means that the two layers have the same elements and that the percentages of the corresponding elements in the two layers are the same as each other. Conversely, when two layers are said to have different compositions, it means that at least one of the two layers has at least one element that is not in the other layer, or that both layers have the same element, but both layers The percentages of elements in are different from each other.

根据替代实施例,电介质层32是包括两个或多个子层的复合层。例如,图4A和图4B示出了复合层32,复合层32包括下子层32A和在下子层32A之上的上子层32B。在下子层32A和上子层32B之间画出虚线(界面)以表示电介质层32可以是单层(在这些实施例中,在其中不形成界面),或可以是复合层。当是复合层时,电介质层32可以包括两个层、三个层或多个层,相邻层由不同电介质材料形成。According to alternative embodiments, dielectric layer 32 is a composite layer including two or more sublayers. For example, FIGS. 4A and 4B show a composite layer 32 including a lower sublayer 32A and an upper sublayer 32B on the lower sublayer 32A. A dotted line (interface) is drawn between lower sublayer 32A and upper sublayer 32B to indicate that dielectric layer 32 may be a single layer (in these embodiments, no interface is formed therein), or may be a composite layer. When a composite layer, dielectric layer 32 may include two layers, three layers, or more layers, with adjacent layers being formed of different dielectric materials.

根据其中电介质层32是单层的一些实施例,电介质层32可以由具有比氧化硅低的蚀刻速率的材料形成,其中,蚀刻速率响应于用于去除和清洁随后形成的虚设栅极电极34(图13A至图13E)的蚀刻化学品。根据一些实施例,使用HF执行清洁,并且电介质层32可以包括除了氧之外的元素(例如,碳和/或氮)。例如,电介质层32可以由以下项形成或包括以下项:SiCx(x在约0.8和约1之间的范围内)、SiOxCy(x和y在约0.8和约1之间的范围内)、SiOxNy、SiCxNy、SiNx(x在约0.8和约1.33之间的范围内)、SiOxCyNz(x和y在约0.1和约0.3之间的范围内,并且z在约0.4和约0.6之间的范围内)等。According to some embodiments in which dielectric layer 32 is a single layer, dielectric layer 32 may be formed from a material that has a lower etch rate than silicon oxide, wherein the etch rate is responsive to the etch rate used to remove and clean subsequently formed dummy gate electrode 34 ( 13A to 13E). According to some embodiments, cleaning is performed using HF, and dielectric layer 32 may include elements other than oxygen (eg, carbon and/or nitrogen). For example, dielectric layer 32 may be formed from or include SiC x (x ranges between about 0.8 and about 1), SiO x C y (x and y range between about 0.8 and about 1) , SiO x N y , SiC x N y , SiN x (x is in the range between about 0.8 and about 1.33), SiO x C y N z (x and y are in the range between about 0.1 and about 0.3, and z in a range between about 0.4 and about 0.6), etc.

根据其中电介质层32是复合层的替代实施例,下子层32A可以由SiOx形成,(x在约0.8和大约2.0之间的范围内,或在约0.8和1.33之间的范围内)。下子层32A也可由非SiOx电介质材料形成,该非SiOx电介质材料可以是在随后去除和清洁虚设栅极电极34中具有比氧化硅低的蚀刻速率的上述材料。例如,非SiOx电介质材料可以由以下项形成或包括以下项:SiCx、SiOxCy、SiOxNy、SiCxNy、SiNx、SiOxCyNz等。According to alternative embodiments in which dielectric layer 32 is a composite layer, lower sub-layer 32A may be formed from SiO x (x ranges between about 0.8 and about 2.0, or between about 0.8 and 1.33). Lower sublayer 32A may also be formed from a non- SiOx dielectric material, which may be a material described above that has a lower etch rate than silicon oxide in subsequent removal and cleaning of dummy gate electrode 34. For example, non- SiOx dielectric materials may be formed from or include SiCx , SiOxCy , SiOxNy , SiCxNy , SiNx , SiOxCyNz , and the like .

根据其中电介质层32是复合层的又一替代实施例,下子层32A和上子层32B中的每个可以由非SiOx电介质材料形成或包括非SiOx电介质材料。虽然下子层32A和上子层32B的材料彼此不同,但是下子层32A和上子层32B中的每个可以由在随后去除和清洁虚设栅极电极34中具有比氧化硅低的蚀刻速率的(上述)材料形成。例如,下子层32A和上子层32B中的每个可以选自相同的候选材料组,候选材料组可以包括SiCx、SiOxCy、SiOxNy、SiCxNy、SiNx、SiOxCyNz等。According to yet another alternative embodiment in which dielectric layer 32 is a composite layer, each of lower sub-layer 32A and upper sub-layer 32B may be formed from or include a non-SiO x dielectric material. Although the materials of the lower sub-layer 32A and the upper sub-layer 32B are different from each other, each of the lower sub-layer 32A and the upper sub-layer 32B may be formed by ( having a lower etching rate than silicon oxide in subsequent removal and cleaning of the dummy gate electrode 34 above) materials. For example, lower sub-layer 32A and upper sub-layer 32B may each be selected from the same candidate material group, which may include SiC x , SiO x Cy , SiO x N y , SiC x N y , SiN x , SiO x C y N z etc.

根据其中电介质层32是复合层的又一替代实施例,下子层32A和上子层32B中的每一者可以具有一致的成分。当电介质层32是单层时,整个电介质层32可以被沉积为具有一致的成分。根据替代实施例,电介质层32具有逐渐改变的成分,不同部分包括相同元素(例如,硅、氧和氮),而从底部到顶部,元素的百分比被逐渐改变。例如,电介质层32的底部可以包括SiOx,而顶部可以包括SiAEy(或SiOAEy),其中,“AE”表示诸如C、N之类的替代元素或包括C、N和O中的两个或三个的任意组合。从电介质层32的底部到电介质层32的顶部,AE的原子百分比y逐渐增加。例如,这可以通过在使用CVD时逐渐改变前体的流速来实现。如将在随后的段落中被讨论的,当由不同于下子层32A的材料形成时,上子层32B可以充当防火墙以防止片损坏。According to yet another alternative embodiment in which dielectric layer 32 is a composite layer, each of lower sub-layer 32A and upper sub-layer 32B may have a consistent composition. When dielectric layer 32 is a single layer, the entire dielectric layer 32 can be deposited to have a consistent composition. According to an alternative embodiment, dielectric layer 32 has a gradually changing composition, with different portions including the same elements (eg, silicon, oxygen, and nitrogen), while the percentages of the elements are gradually changed from bottom to top. For example, the bottom of dielectric layer 32 may include SiO or any combination of three. The atomic percent y of AE gradually increases from the bottom of dielectric layer 32 to the top of dielectric layer 32 . This can be achieved, for example, by gradually changing the flow rate of the precursor when using CVD. As will be discussed in subsequent paragraphs, when formed from a different material than lower sub-layer 32A, upper sub-layer 32B may act as a firewall to prevent sheet damage.

根据一些实施例,使用原子层沉积(ALD)工艺(其包括多个ALD循环)来形成电介质层32。每个ALD循环可以包括第一阶段和随后的第二阶段。第一阶段可以包括:将第一前体导入(也被称为脉冲调制或馈送)到ALD腔室中,清洗第一前体,以及导通等离子体。在第一阶段中的脉冲调制和初始清洗阶段期间,可以关闭等离子体。第二阶段可以包括:将第二前体导入ALD腔室中,清洗第二前体,导通等离子体。在第二阶段中的脉冲调制和初始清洗阶段期间,可以关闭等离子体。也可以在第二阶段导通等离子体,但是不可以在第一阶段导通。清洗气体可包括N2、Ar、Ne、Kr、He等或其组合。等离子体处理可以被执行在包括N2、Ar、Ne、Kr、He、O2、NH3、N2O等或其组合的处理气体中。According to some embodiments, dielectric layer 32 is formed using an atomic layer deposition (ALD) process that includes multiple ALD cycles. Each ALD cycle may include a first phase followed by a second phase. The first stage may include introducing (also known as pulsing or feeding) a first precursor into the ALD chamber, cleaning the first precursor, and conducting the plasma. During the pulse modulation and initial cleaning phase in the first stage, the plasma can be turned off. The second stage may include: introducing the second precursor into the ALD chamber, cleaning the second precursor, and turning on the plasma. During the pulse modulation and initial cleaning phase in the second stage, the plasma can be turned off. The plasma can also be turned on in the second stage, but not in the first stage. The purge gas may include N 2 , Ar, Ne, Kr, He, etc. or combinations thereof. Plasma processing may be performed in a processing gas including N 2 , Ar, Ne, Kr, He, O 2 , NH 3 , N 2 O, etc., or combinations thereof.

根据一些实施例,第一前体包括含硅前体,其可以包括硅烷、二硅烷、氨基硅烷、二仲丁基氨基硅烷(DSBAS)、二(叔丁基氨基)硅烷(BTBAS)等或其组合。第二前体可以包括另一(一个或多个)元素,例如,C、N和/或O。例如,当N要被包括在电介质层中时,第二前体可以包括氨。所得电介质层32可以包括SiC、SiN、SiO、SiCN、SiOCN、SiON等或其组合。According to some embodiments, the first precursor includes a silicon-containing precursor, which may include silane, disilane, aminosilane, di-sec-butylaminosilane (DSBAS), bis(tert-butylamino)silane (BTBAS), etc., or their combination. The second precursor may include another element(s), for example, C, N and/or O. For example, when N is to be included in the dielectric layer, the second precursor may include ammonia. The resulting dielectric layer 32 may include SiC, SiN, SiO, SiCN, SiOCN, SiON, etc. or combinations thereof.

根据替代实施例,可以使用诸如CVD之类的其它沉积方法来形成电介质层32。可以通过调整对应前体的流速来控制电介质层32的成分。根据其中电介质层32的成分逐渐改变的一些实施例,当进行电介质层32的沉积时,前体的流速和流速比率可以被逐渐改变。According to alternative embodiments, other deposition methods such as CVD may be used to form dielectric layer 32 . The composition of dielectric layer 32 can be controlled by adjusting the flow rate of the corresponding precursor. According to some embodiments in which the composition of dielectric layer 32 is gradually changed, the flow rate and flow rate ratio of the precursor may be gradually changed as deposition of dielectric layer 32 proceeds.

根据一些实施例,如在随后示出的图5和图6中示出的,虚设栅极电极层34在各向异性蚀刻工艺中被图案化,并且使用电介质层32作为蚀刻终止层来执行该图案化工艺。因为工艺变化,所以在突出鳍28的顶部上的电介质层32的顶部可能被损坏,并且还可能具有比突出鳍28的侧壁上的电介质层32的竖直部分更多的损失。当电介质层32的顶部因为工艺变化而被损坏或去除时,顶部纳米结构22B可能被暴露并且遭受损失。这导致工艺退化和偏差。因此,电介质层32可以被形成为具有大于侧壁厚度的顶部厚度,从而为顶部纳米结构损失提供了更大的工艺余量。According to some embodiments, as shown in FIGS. 5 and 6 shown subsequently, dummy gate electrode layer 34 is patterned in an anisotropic etch process, and this is performed using dielectric layer 32 as an etch stop layer. Patterning process. Because of process variations, the top of dielectric layer 32 on top of protruding fins 28 may be damaged and may also have more loss than the vertical portions of dielectric layer 32 on the sidewalls of protruding fins 28 . When the top of dielectric layer 32 is damaged or removed due to process variations, top nanostructures 22B may be exposed and suffer loss. This leads to process degradation and deviations. Accordingly, dielectric layer 32 may be formed with a top thickness that is greater than the sidewall thickness, thereby providing greater process margin for top nanostructure loss.

图4B示出了图4A中的竖直横截面图4B-4B。电介质层32包括在突出鳍28正上方的顶部32T,并且顶部的顶部厚度被表示为T1(包括T1A、T1B和T1C)。厚度T1可以在对应的突出鳍28的中间竖直线处被测量。根据一些实施例,顶部32T具有一致的厚度。例如,图4B示出了厚度T1A、厚度T1B和厚度T1C可以相同,变化小于约10%或更小。Figure 4B shows the vertical cross-section view 4B-4B in Figure 4A. Dielectric layer 32 includes a top portion 32T directly above protruding fin 28, and the top thickness of the top portion is designated T1 (including T1A, T1B, and T1C). Thickness T1 may be measured at the middle vertical line of the corresponding protruding fin 28 . According to some embodiments, top 32T has a consistent thickness. For example, Figure 4B shows that thickness T1A, thickness T1B, and thickness T1C can be the same, varying by less than about 10% or less.

虚设栅极电介质层32还包括在突出鳍28的侧壁上的侧壁部分32S,以及与STI区域26的顶表面重叠并且接触的水平部分32H。水平部分32H的厚度T3可以等于厚度T2,厚度T2和厚度T3两者都小于厚度T1。因此,电介质层32是非共形层。根据一些实施例,厚度比率T1/T2和厚度比率T1/T3中的每个大于约1.5,并且可以大于约2.0(例如,在约2和约5之间的范围内)。当下子层32A和上子层32B中的一个或多个是非共形时,厚度比率T1’/T2’和厚度比率T1”/T2”也可以大于约1.5,并且可以大于约2.0,例如,在约2和约5之间的范围内。厚度T1’和厚度T2’分别是下子层32A的顶部厚度和侧壁厚度。厚度T1”和厚度T2”分别是上子层32B的顶部厚度和侧壁厚度。Dummy gate dielectric layer 32 also includes sidewall portions 32S on the sidewalls of protruding fins 28 , and horizontal portions 32H that overlap and contact the top surface of STI region 26 . Thickness T3 of horizontal portion 32H may be equal to thickness T2, both thickness T2 and thickness T3 being less than thickness T1. Therefore, dielectric layer 32 is a non-conformal layer. According to some embodiments, each of thickness ratio T1/T2 and thickness ratio T1/T3 is greater than about 1.5, and may be greater than about 2.0 (eg, in a range between about 2 and about 5). When one or more of lower sub-layer 32A and upper sub-layer 32B are non-conformal, thickness ratio T1'/T2' and thickness ratio T1"/T2" may also be greater than about 1.5, and may be greater than about 2.0, for example, in In the range between about 2 and about 5. Thickness T1' and thickness T2' are the top thickness and sidewall thickness, respectively, of lower sub-layer 32A. Thickness T1 ″ and thickness T2 ″ are the top thickness and sidewall thickness, respectively, of upper sub-layer 32B.

根据一些实施例,顶部厚度T1可以在约和约/>之间的范围内。侧壁厚度T2和底部厚度T3可以在/>和约/>之间的范围内。According to some embodiments, the top thickness T1 may be about Peace Treaty/> within the range between. Sidewall thickness T2 and bottom thickness T3 can be found at/> Peace Treaty/> within the range between.

根据其中电介质层32包括两个或多个电介质层的一些实施例,电介质层32中的零个、一个或多个子层可以具有上述任意组合的非共形轮廓,而(一个或多个)其它子层(如果有的话)可以是共形的。例如,当有两个子层时,下子层32A可具有共形轮廓,而上子层32B可以具有非共形轮廓。这种轮廓允许上子层32A在随后的对虚设栅极电极层的图案化中停止蚀刻。根据替代实施例,下子层32A可以具有非共形轮廓,而上子层32B可以具有共形轮廓。根据又一替代实施例,下子层32A和上子层32B两者都具有非共形轮廓。According to some embodiments in which dielectric layer 32 includes two or more dielectric layers, zero, one, or more sublayers in dielectric layer 32 may have non-conformal profiles in any combination of the above, while the other(s) Sublayers (if any) can be conformal. For example, when there are two sub-layers, lower sub-layer 32A may have a conformal profile and upper sub-layer 32B may have a non-conformal profile. This profile allows upper sublayer 32A to stop etching during subsequent patterning of the dummy gate electrode layer. According to alternative embodiments, lower sub-layer 32A may have a non-conformal profile, while upper sub-layer 32B may have a conformal profile. According to yet another alternative embodiment, both lower sub-layer 32A and upper sub-layer 32B have non-conformal profiles.

为了实现电介质层32的非共形轮廓,CVD模式ALD工艺被采用,其中,ALD工艺条件被调整以实现比侧壁厚度更厚的顶部厚度。工艺条件的调整可包括减少前体导入时间(馈送时间),使得前体扩散到突出鳍28之间的沟槽底部的时间较少,以及前体的吸附时间较少。工艺条件的调整还可以包括在前体导入中增加前体的压力。工艺条件的调整还可以包括减少等离子体导通时间(在下文中被称为等离子体处理时间),使得发生较少的反应。To achieve the non-conformal profile of dielectric layer 32, a CVD mode ALD process is employed, where the ALD process conditions are adjusted to achieve a thicker top thickness than the sidewall thickness. Adjustment of the process conditions may include reducing the precursor introduction time (feed time) so that the precursor has less time to diffuse to the bottom of the trench between protruding fins 28 and less time for the precursor to adsorb. Adjustment of process conditions may also include increasing the pressure of the precursor during precursor introduction. Adjustment of process conditions may also include reducing the plasma on-time (hereinafter referred to as plasma treatment time) so that fewer reactions occur.

根据一些实施例,在用于形成非共形电介质层32的ALD循环中,前体导入时间可以在约0.01秒和约0.2秒之间的范围内。压力可以在约2托和约3.5托之间的范围内。等离子体处理时间可以在约0.1秒和约3秒之间的范围内。According to some embodiments, in an ALD cycle for forming non-conformal dielectric layer 32, the precursor introduction time may be in a range between about 0.01 seconds and about 0.2 seconds. The pressure may be in a range between about 2 Torr and about 3.5 Torr. The plasma treatment time may be in a range between about 0.1 seconds and about 3 seconds.

应当理解,电介质层32的轮廓可以是各种因素组合的结果。因此,可以进行实验以找出工艺条件的期望组合以实现期望轮廓。实验可以包括在多个样品晶圆上形成多个样品电介质层32。在形成中,使用工艺条件(例如,压力、前体导入时间、等离子体处理时间等)的多个不同组合来形成多个样品电介质层。样本电介质层的轮廓被测量以确定工艺条件和电介质层的轮廓之间的相关性。因此,期望的工艺条件被可以选择为导致电介质层32的期望轮廓的条件。It should be understood that the profile of the dielectric layer 32 can be the result of a combination of various factors. Therefore, experiments can be conducted to find the desired combination of process conditions to achieve the desired profile. The experiment can include forming a plurality of sample dielectric layers 32 on a plurality of sample wafers. In the formation, a plurality of sample dielectric layers are formed using a plurality of different combinations of process conditions (e.g., pressure, precursor introduction time, plasma treatment time, etc.). The profile of the sample dielectric layer is measured to determine the correlation between the process conditions and the profile of the dielectric layer. Therefore, the desired process conditions can be selected as the conditions that lead to the desired profile of the dielectric layer 32.

应当理解,在相关工艺中,虚设电介质层可以与IO晶体管的栅极氧化物同时形成。根据本公开的实施例,因为电介质层32的材料和结构不同于IO晶体管的栅极氧化物的材料和结构,所以电介质层32的形成和IO晶体管的栅极氧化物的形成被去耦,并且被形成在单独的工艺中,并且具有不同的结构。例如,IO晶体管的栅极氧化物可以由氧化硅形成,并且可以是共形的,而GAA晶体管的电介质层32可以由上述材料形成,并且可以是非共形的。It should be understood that in related processes, the dummy dielectric layer may be formed simultaneously with the gate oxide of the IO transistor. According to embodiments of the present disclosure, because the material and structure of dielectric layer 32 are different from those of the gate oxide of the IO transistor, the formation of dielectric layer 32 and the formation of the gate oxide of the IO transistor are decoupled, and are formed in separate processes and have different structures. For example, the gate oxide of an IO transistor may be formed of silicon oxide and may be conformal, while the dielectric layer 32 of a GAA transistor may be formed of the materials described above and may be non-conformal.

参考图5,虚设栅极电极层34被沉积。相应的工艺在图16中示出的工艺流程200中被示出为工艺212。然后平坦化工艺被执行以使虚设栅极电极层34的顶表面齐平。例如,可以使用多晶硅或非晶硅形成虚设栅极电极层34,并且也可以使用诸如非晶碳之类的其它材料。一个(或多个)硬掩模层36也被形成在虚设栅极电极层34之上。硬掩模层36可以由氮化硅、氧化硅、碳氮化硅,氧碳氮化硅或其多层形成。Referring to FIG5 , a dummy gate electrode layer 34 is deposited. The corresponding process is shown as process 212 in the process flow 200 shown in FIG16 . A planarization process is then performed to level the top surface of the dummy gate electrode layer 34. For example, the dummy gate electrode layer 34 may be formed using polycrystalline silicon or amorphous silicon, and other materials such as amorphous carbon may also be used. One (or more) hard mask layers 36 are also formed on the dummy gate electrode layer 34. The hard mask layer 36 may be formed of silicon nitride, silicon oxide, silicon carbonitride, silicon oxycarbon nitride, or a multilayer thereof.

参考图6,硬掩模层36和虚设栅极电极层34在蚀刻工艺39中被图案化以形成虚设栅极堆叠37,虚设栅极堆叠37包括硬掩模36和虚设栅极电极34。相应的工艺在图16中示出的工艺流程200中被示出为工艺214。所得结构在图6中示出。根据一些实施例,通过各向异性蚀刻工艺来执行图案化工艺。蚀刻气体可以包括氟(F2),氯(Cl2),氯化氢(HCl),溴化氢(HBr),溴(Br2),C2F6,CF4,SO2,HBr、Cl2和O2的混合物或其组合。使用电介质层32作为蚀刻停止层来执行蚀刻。根据一些实施例,通过选择电介质层32的材料和蚀刻化学品的适当组合,电介质层32的蚀刻速率低于氧化硅的蚀刻速率。结果,本公开的实施例中的电介质层32比氧化硅更好地停止蚀刻,氧化硅也可以是IO晶体管(其可以被形成在与GAA晶体管相同的晶圆/管芯中)的栅极氧化物。Referring to FIG. 6 , hard mask layer 36 and dummy gate electrode layer 34 are patterned in etching process 39 to form dummy gate stack 37 including hard mask 36 and dummy gate electrode 34 . The corresponding process is shown as process 214 in the process flow 200 shown in FIG. 16 . The resulting structure is shown in Figure 6. According to some embodiments, the patterning process is performed by an anisotropic etching process. The etching gas may include fluorine (F 2 ), chlorine (Cl 2 ), hydrogen chloride (HCl), hydrogen bromide (HBr), bromine (Br 2 ), C 2 F 6 , CF 4 , SO 2 , HBr, Cl 2 and Mixtures of O2 or combinations thereof. The etching is performed using dielectric layer 32 as an etch stop layer. According to some embodiments, by selecting an appropriate combination of materials and etching chemicals for dielectric layer 32, the etch rate of dielectric layer 32 is lower than the etch rate of silicon oxide. As a result, dielectric layer 32 in embodiments of the present disclosure stops etching better than silicon oxide, which can also be the gate oxide for IO transistors (which can be formed in the same wafer/die as GAA transistors) things.

因为蚀刻工艺39是各向异性的,所以电介质层32的顶部遭受的来自侧壁部分的损失较多。随着电介质层32的顶部越来越厚,通过图案化工艺39来完全去除电介质层32的顶部的可能性降低。因此,下方的顶部纳米结构22B不太可能被蚀刻,或如果被蚀刻则损失较少。例如,在图案化工艺39期间,SiOx和SiOxCyNZ可以具有在约/分钟和约/>/分钟之间的范围内的蚀刻速率。另一方面,SiCx和SiCxNy可以具有显著地较低的蚀刻速率,其可以在约/>/分钟和约/>/分钟之间的范围内。SiNx还可以具有显著地较低的蚀刻速率,其可以在约/>/分钟和约/>/分钟之间的范围内。Because the etching process 39 is anisotropic, the top of the dielectric layer 32 experiences more losses from the sidewall portions. As the top of dielectric layer 32 becomes thicker, the possibility of completely removing the top of dielectric layer 32 by patterning process 39 decreases. Therefore, the underlying top nanostructure 22B is less likely to be etched, or less lost if etched. For example, during patterning process 39, SiOx and SiOxCyNZ may have an approximate /Minute Agreement/> Etch rates in the range between /min. SiCx and SiCxNy , on the other hand, can have significantly lower etch rates, which can be around/> /Minute Agreement/> /minute. SiNx can also have a significantly lower etch rate, which can be achieved at approximately /Minute Agreement/> /minute.

根据其中电介质层32是复合层的一些实施例,上子层32B和下子层32A中的一个而不是两个是非共形的,非共形子层的蚀刻速率(在图案化工艺39中)也可以低于共形子层的蚀刻速率,以最小化完全去除电介质层32的顶部的可能性。According to some embodiments in which dielectric layer 32 is a composite layer, one but not both of upper sub-layer 32B and lower sub-layer 32A is non-conformal, and the etch rate of the non-conformal sub-layer (during patterning process 39) is also The etch rate of the conformal sublayer may be lower than that of the conformal sublayer to minimize the possibility of completely removing the top of dielectric layer 32 .

接下来,图7示出了栅极间隔件38被形成在虚设栅极堆叠37的侧壁上。相应的工艺在图16中示出的工艺流程200中被示出为工艺216。根据一些实施例,栅极间隔件层38由电介质材料(例如,氮化硅(SiN)、二氧化硅(SiO2)、碳氮化硅(SiCN)、氧氮化硅(SiON)、氧碳氮化硅(SiOCN)等)形成,并且可以具有单层结构或包括多个电介质层的多层结构。栅极间隔件38的形成工艺可以包括:沉积一个或多个电介质层,然后在(一个或多个)电介质层上执行(一个或多个)各向异性蚀刻工艺。(一个或多个)电介质层的剩余部分是栅极间隔件38。Next, FIG. 7 shows that a gate spacer 38 is formed on the sidewall of the dummy gate stack 37. The corresponding process is shown as process 216 in the process flow 200 shown in FIG. 16. According to some embodiments, the gate spacer layer 38 is formed of a dielectric material (e.g., silicon nitride (SiN), silicon dioxide ( SiO2 ), silicon carbonitride (SiCN), silicon oxynitride (SiON), silicon oxycarbonitride (SiOCN), etc.), and may have a single-layer structure or a multi-layer structure including a plurality of dielectric layers. The formation process of the gate spacer 38 may include: depositing one or more dielectric layers, and then performing (one or more) anisotropic etching processes on (one or more) dielectric layers. The remaining portion of (one or more) dielectric layers is the gate spacer 38.

图8A、图8B和图8E示出了凹部42的形成,外延区域从凹部42形成。图8A和图8B示出了图8E示出的结构的横截面图。图8A示出了图8E中的竖直横截面A-A,该横截面切穿突出鳍28的未被虚设栅极堆叠37和栅极间隔件覆盖的部分。图8A还示出了位于突出鳍28的侧壁上的鳍间隔件38’。图8B示出了图8E中的参考横截面B-B,该参考横截面平行于突出翼28的纵向方向。Figures 8A, 8B and 8E illustrate the formation of recesses 42 from which epitaxial regions are formed. Figures 8A and 8B show cross-sectional views of the structure shown in Figure 8E. 8A shows the vertical cross-section A-A in FIG. 8E, which cuts through the portion of the protruding fin 28 that is not covered by the dummy gate stack 37 and the gate spacer. Figure 8A also shows fin spacers 38' located on the sidewalls of protruding fins 28. FIG. 8B shows the reference cross-section B-B in FIG. 8E , which reference cross-section is parallel to the longitudinal direction of the protruding wing 28 .

图8A、图8B和图8E示出电介质层32的被暴露的部分被蚀刻。相应的工艺在图16中示出的工艺流程200中被示出为工艺218。虚设栅极堆叠37和栅极间隔件38的位于电介质层32和突出鳍28正下方的部分在蚀刻工艺之后保留。相应的工艺在图16中示出的工艺流程200中被示出为工艺220。电介质层32的剩余部分被视为虚设栅极堆叠37的部分。根据一些实施例,蚀刻工艺包括使用C2F6,CF4,SO2,HBr、Cl2和O2的混合物,HBr、Cl2、O2和CH2F2的混合物等执行的干法蚀刻工艺,以蚀刻多层半导体堆叠22’和下方的衬底条带20’。凹部42的底部至少与多层半导体堆叠22’的底部齐平,或可以低于多层半导体堆叠22’的底部。蚀刻可以是各向异性的,使得面向凹部42的多层半导体堆叠22’的侧壁是竖直且直的。8A, 8B, and 8E illustrate that exposed portions of dielectric layer 32 are etched. The corresponding process is shown as process 218 in the process flow 200 shown in FIG. 16 . The portions of dummy gate stack 37 and gate spacers 38 directly beneath dielectric layer 32 and protruding fins 28 remain after the etching process. The corresponding process is shown as process 220 in the process flow 200 shown in FIG. 16 . The remainder of dielectric layer 32 is considered part of dummy gate stack 37 . According to some embodiments, the etching process includes dry etching performed using C 2 F 6 , CF 4 , SO 2 , a mixture of HBr, Cl 2 and O 2 , a mixture of HBr, Cl 2 , O 2 and CH 2 F 2 , etc. A process to etch the multi-layer semiconductor stack 22' and the underlying substrate strip 20'. The bottom of the recess 42 is at least flush with the bottom of the multi-layer semiconductor stack 22', or may be lower than the bottom of the multi-layer semiconductor stack 22'. The etching may be anisotropic such that the sidewalls of the multilayer semiconductor stack 22' facing the recess 42 are vertical and straight.

参考图8B,牺牲半导体层22A被横向凹陷以形成横向凹部41,横向凹部41从相应的上覆和下方的纳米结构22B的边缘凹陷。相应的工艺在图16中示出的工艺流程200中被示出为工艺222。牺牲半导体层22A的横向凹陷可以通过湿法蚀刻工艺来实现,该湿法蚀刻工艺使用对牺牲半导体层22A的材料(例如,硅锗(SiGe))比对纳米结构22B和衬底20的材料(例如,硅(Si))更具有选择性的蚀刻剂。例如,在牺牲半导体层22A由硅锗形成并且纳米结构22B由硅形成的实施例中,可以使用诸如盐酸(HCl)之类的蚀刻剂来执行湿法蚀刻工艺。湿法蚀刻工艺可以使用浸渍工艺、喷涂工艺等执行,并且可以使用任何合适的工艺温度(例如,在约400℃和约600℃之间)和合适的工艺时间(例如,在约100秒和约1000秒之间)执行。根据替代实施例,通过各向同性干法蚀刻工艺或干法蚀刻工艺和湿法蚀刻工艺的组合来执行牺牲半导体层22A的横向凹陷。Referring to Figure 8B, sacrificial semiconductor layer 22A is laterally recessed to form lateral recesses 41 that are recessed from the edges of corresponding overlying and underlying nanostructures 22B. The corresponding process is shown as process 222 in the process flow 200 shown in FIG. 16 . Lateral recessing of sacrificial semiconductor layer 22A may be achieved by a wet etching process using a material for sacrificial semiconductor layer 22A (eg, silicon germanium (SiGe)) in contrast to the material of nanostructure 22B and substrate 20 ( For example, silicon (Si) is a more selective etchant. For example, in embodiments in which sacrificial semiconductor layer 22A is formed of silicon germanium and nanostructures 22B are formed of silicon, the wet etching process may be performed using an etchant such as hydrochloric acid (HCl). The wet etching process may be performed using a dipping process, a spraying process, etc., and may use any suitable process temperature (e.g., between about 400°C and about 600°C) and suitable process time (e.g., between about 100 seconds and about 1000 seconds between) execution. According to alternative embodiments, the lateral recessing of the sacrificial semiconductor layer 22A is performed by an isotropic dry etching process or a combination of dry and wet etching processes.

图8C和图8D示出了图8B示出的结构的俯视图,其中,俯视图分别从穿过牺牲半导体层22A和纳米结构22B的水平平面获得。参考图8C和图8D,纳米结构22B的边缘可以与栅极间隔件38的内部边缘对准。图8C示出了牺牲半导体层22A的边缘被横向凹陷。结果,电介质层32的下子层32A的一些内部部分可以被显露。Figures 8C and 8D show top views of the structure shown in Figure 8B, where the top views are taken from a horizontal plane through the sacrificial semiconductor layer 22A and the nanostructure 22B, respectively. Referring to FIGS. 8C and 8D , the edges of nanostructures 22B may be aligned with the inner edges of gate spacers 38 . Figure 8C shows that the edges of sacrificial semiconductor layer 22A are laterally recessed. As a result, some interior portions of lower sublayer 32A of dielectric layer 32 may be exposed.

在牺牲半导体层22A的横向凹陷和随后的清洁工艺中,可能对下子层32A造成损坏。这可能导致贯穿沟道(气隙)43被形成在下子层32A中。根据一些实施例,上子层32B对在牺牲半导体层22A的横向凹陷和随后的清洁工艺中使用的化学品具有耐受性,并且因此贯穿沟道43将被上子层32B阻挡。换句话说,上子层32B的蚀刻速率低于下子层32A。During the lateral recessing of the sacrificial semiconductor layer 22A and the subsequent cleaning process, damage may be caused to the lower sublayer 32A. This may result in through-channels (air gaps) 43 being formed in lower sub-layer 32A. According to some embodiments, upper sub-layer 32B is resistant to chemicals used in the lateral recessing and subsequent cleaning processes of sacrificial semiconductor layer 22A, and thus through-channel 43 will be blocked by upper sub-layer 32B. In other words, upper sublayer 32B has a lower etch rate than lower sublayer 32A.

图9A和图9B示出了内部间隔件44的形成。相应的工艺在图16中示出的工艺流程200中被示出为工艺224。形成工艺包括:沉积延伸到凹部41中的间隔件层,并且执行蚀刻工艺以去除内部间隔件层的凹部41外部的部分,从而在凹部41中留下内部间隔件层44。内部间隔件44可以由SiOCN、SiON、SiOC、SiCN等形成或包括SiOCN、SiON、SiOC、SiCN等。根据一些实施例,可以通过湿法蚀刻工艺来执行间隔件层的蚀刻,其中,蚀刻化学品可以包括H2SO4、稀释的HF、氨溶液(NH4OH,氨水溶液)等或其组合。Figures 9A and 9B illustrate the formation of internal spacers 44. The corresponding process is shown as process 224 in the process flow 200 shown in FIG. 16 . The formation process includes depositing a spacer layer extending into recess 41 and performing an etching process to remove portions of the inner spacer layer outside recess 41 , leaving inner spacer layer 44 in recess 41 . The inner spacer 44 may be formed of or include SiOCN, SiON, SiOC, SiCN, etc. According to some embodiments, the etching of the spacer layer may be performed by a wet etching process, wherein the etching chemicals may include H 2 SO 4 , dilute HF, ammonia solution (NH 4 OH, aqueous ammonia solution), etc., or combinations thereof.

图10A、图10B和图10C示出了通过外延在凹部42中形成源极/漏极区域48的横截面图和透视图。相应的工艺在图16中示出的工艺流程200中被示出为工艺226。单独地或共同地取决于上下文,(一个或多个)源极/漏极区域可以指代源极或漏极。根据一些实施例,源极/漏极区域48可以对纳米结构22B施加压力(该纳米结构22B用作对应GAA晶体管的沟道),从而提高性能。10A, 10B, and 10C show cross-sectional and perspective views of source/drain regions 48 formed in recess 42 by epitaxy. The corresponding process is shown as process 226 in the process flow 200 shown in FIG. 16 . Depending on the context, individually or collectively, the source/drain region(s) may refer to a source or a drain. According to some embodiments, source/drain regions 48 may exert pressure on nanostructure 22B (which acts as a channel for the corresponding GAA transistor), thereby improving performance.

根据一些实施例,对应的晶体管是n型的,因此外延源极/漏极区域48通过掺杂n型掺杂剂被形成为n型。例如,硅磷(SiP)、硅碳磷(SiCP)等可以被生长以形成外延源极/漏极区域48。根据替代实施例,对应的晶体管是p型的,因此外延源极/漏极区域48通过掺杂p型掺杂剂被形成为p型。例如,硅硼(SiB)、硅锗硼(SiGeB)等可以被生长以形成外延源极/漏极区域48。在用外延区域48填充凹部42之后,外延区域48的进一步外延生长导致外延区域48水平扩展,并且小平面可以被形成。外延区域48的进一步生长也可以导致相邻外延区域48彼此合并,因此形成空隙49(图10C)。According to some embodiments, the corresponding transistor is n-type, so the epitaxial source/drain region 48 is formed to be n-type by doping with n-type dopants. For example, silicon phosphorus (SiP), silicon carbon phosphorus (SiCP), etc. can be grown to form the epitaxial source/drain region 48. According to alternative embodiments, the corresponding transistor is p-type, so the epitaxial source/drain region 48 is formed to be p-type by doping with p-type dopants. For example, silicon boron (SiB), silicon germanium boron (SiGeB), etc. can be grown to form the epitaxial source/drain region 48. After filling the recess 42 with the epitaxial region 48, further epitaxial growth of the epitaxial region 48 causes the epitaxial region 48 to expand horizontally, and a small facet can be formed. Further growth of the epitaxial region 48 can also cause adjacent epitaxial regions 48 to merge with each other, thereby forming a gap 49 (Figure 10C).

在外延工艺之后,外延区域48可以被进一步注入p型杂质或n型杂质以形成源极区域和漏极区域,其也使用附图标记48表示。根据本公开的替代实施例,当外延区域48在外延期间用n型杂质或p型杂质被原位掺杂并且外延区域48也是源极/漏极区域时,跳过注入工艺。After the epitaxial process, the epitaxial region 48 may be further implanted with p-type impurities or n-type impurities to form source and drain regions, which are also indicated using reference numeral 48. According to an alternative embodiment of the present disclosure, when the epitaxial region 48 is in-situ doped with n-type impurities or p-type impurities during epitaxy and the epitaxial region 48 is also a source/drain region, the implantation process is skipped.

图11A、图11B和图11C示出了在形成接触蚀刻停止层(CESL)50和层间电介质(ILD)52之后的结构的横截面图和透视图。相应的工艺在图16中示出的工艺流程200中被示出为工艺228。图11A示出了图11B中的横截面11A-11A。CESL 50可以由氧化硅、氮化硅、碳氮化硅等形成,并且可以使用CVD、ALD等形成。ILD 52可包括使用例如FCVD、旋涂、CVD、或任何其它合适的沉积方法形成的电介质材料。ILD 52可以由含氧电介质材料形成,其可以是基于氧化硅的材料,例如,氧化硅、磷硅酸盐玻璃(PSG)、硼硅酸盐玻璃(BSG)、硼掺杂磷硅酸盐玻璃(BPSG)、未掺杂硅酸盐玻璃(USG)等。11A, 11B, and 11C show cross-sectional and perspective views of the structure after formation of contact etch stop layer (CESL) 50 and interlayer dielectric (ILD) 52. A corresponding process is shown as process 228 in the process flow 200 shown in FIG. 16 . Figure 11A shows cross-section 11A-11A in Figure 11B. CESL 50 can be formed of silicon oxide, silicon nitride, silicon carbonitride, etc., and can be formed using CVD, ALD, etc. ILD 52 may include dielectric material formed using, for example, FCVD, spin coating, CVD, or any other suitable deposition method. ILD 52 may be formed from an oxygen-containing dielectric material, which may be a silicon oxide based material, such as silicon oxide, phosphosilicate glass (PSG), borosilicate glass (BSG), boron doped phosphosilicate glass (BPSG), undoped silicate glass (USG), etc.

图12A和图12B到图15A和图15B示出了用于形成替代栅极堆叠和接触插塞的工艺。在图12A和图12B中,平坦化工艺(例如,CMP工艺或机械研磨工艺)可以被执行以使ILD 52的顶表面齐平。根据一些实施例,平坦化工艺可以去除硬掩模36以显露虚设栅极电极34,如图12A所示。相应的工艺在图16中示出的工艺流程200中被示出为工艺230。根据替代实施例,平坦化工艺可以显露硬掩模36并在硬掩模36上被停止。根据一些实施例,在平坦化工艺之后,虚设栅极电极34(或硬掩模36)、栅极间隔件38和ILD 52的顶表面在工艺变化内是水平的。Figures 12A and 12B through Figures 15A and 15B illustrate processes for forming replacement gate stacks and contact plugs. In FIGS. 12A and 12B , a planarization process (eg, a CMP process or a mechanical grinding process) may be performed to make the top surface of the ILD 52 flush. According to some embodiments, the planarization process may remove hard mask 36 to reveal dummy gate electrode 34, as shown in Figure 12A. The corresponding process is shown as process 230 in the process flow 200 shown in FIG. 16 . According to alternative embodiments, the planarization process may expose hard mask 36 and be stopped on hard mask 36 . According to some embodiments, after the planarization process, dummy gate electrode 34 (or hard mask 36), gate spacer 38, and the top surface of ILD 52 are level within process variations.

接下来,在图13A、图13B和图13C示出的工艺中,虚设栅极电极34(和硬掩模36,如果剩余的话)在一个或多个蚀刻工艺中被去除,从而形成凹部58,如图13A和图13B所示。相应的工艺在图16中示出的工艺流程200中被示出为工艺232。栅极电介质32的在凹部58中的部分被暴露。Next, in the process illustrated in FIGS. 13A, 13B, and 13C, dummy gate electrode 34 (and hard mask 36, if remaining) is removed in one or more etching processes, thereby forming recess 58, As shown in Figure 13A and Figure 13B. The corresponding process is shown as process 232 in the process flow 200 shown in FIG. 16 . Portions of gate dielectric 32 in recess 58 are exposed.

根据一些实施例,可以通过干法和/或湿法蚀刻工艺来执行虚设栅极电极34的去除。例如,当干法蚀刻被执行时,蚀刻气体可以包括F2、Cl2、HCl、HBr、Br2、C2F6、CF4、SO2等或其组合。在去除虚设栅极电极34之后,清洁工艺可以被执行,其可以通过使用诸如HF之类的干法蚀刻工艺来执行。According to some embodiments, the removal of dummy gate electrode 34 may be performed by a dry and/or wet etching process. For example, when dry etching is performed, the etching gas may include F2 , Cl2 , HCl, HBr, Br2 , C2F6 , CF4 , SO2 , etc. or a combination thereof. After removing the dummy gate electrode 34, a cleaning process may be performed, which may be performed using a dry etching process such as HF.

图13D和图13E示出了图13A、图13B和图13C中示出结构的俯视图,这些俯视图分别从与图8C和图8D中示出的相同的水平平面获得。可以从图13E观察到,如果贯穿沟道43穿透整个电介质层32,则用于蚀刻虚设栅极电极34和随后的清洁工艺的化学品可以穿过开口58和贯穿沟道43到达纳米结构22B,该纳米结构22B可以由硅形成或包括硅。因此,纳米结构22B可能被损坏,因此形成空隙43E。根据本公开的实施例,上子层32B有利地阻挡贯穿沟道43延伸到其中,并且因此贯穿沟道43将不能穿透整个电介质层32。凹部58中的化学品将不能到达并且损坏纳米结构22B。Figures 13D and 13E show top views of the structures shown in Figures 13A, 13B and 13C, taken from the same horizontal plane as shown in Figures 8C and 8D respectively. It can be observed from Figure 13E that if through-channel 43 penetrates the entire dielectric layer 32, the chemicals used to etch dummy gate electrode 34 and the subsequent cleaning process can pass through opening 58 and through-channel 43 to reach nanostructure 22B , the nanostructure 22B may be formed of or include silicon. Therefore, nanostructure 22B may be damaged, thus forming voids 43E. According to embodiments of the present disclosure, upper sub-layer 32B advantageously blocks through-channel 43 from extending therein, and thus through-channel 43 will not be able to penetrate the entire dielectric layer 32 . Chemicals in recess 58 will not be able to reach and damage nanostructure 22B.

参考图14A和图14B,栅极电介质32的被暴露的部分被蚀刻。相应的工艺在图16中示出的工艺流程200中被示出为工艺234。另一方面,保护栅极电介质32的位于栅极间隔件38正下方的部分不被去除。Referring to Figures 14A and 14B, the exposed portions of gate dielectric 32 are etched. The corresponding process is shown as process 234 in the process flow 200 shown in FIG. 16 . On the other hand, the portion of gate dielectric 32 directly beneath gate spacer 38 is protected from removal.

然后牺牲层22A被去除以在使凹部58在纳米结构22B之间延伸。相应的工艺在图16中示出的工艺流程200中被示出为工艺236。可以通过使用蚀刻剂执行各向同性蚀刻工艺(例如,湿法蚀刻工艺)来去除牺牲层22A,该蚀刻剂对牺牲层22A的材料具有选择性,而与牺牲层22A相比,纳米结构22B、衬底20、STI区域26和剩余的栅极电介质32相对未被蚀刻。根据一些实施例,其中,牺牲层22A包括例如SiGe,纳米结构22B包括例如硅或碳掺杂硅。诸如四甲基氢氧化铵(TMAH)、氢氧化铵(NH4OH)等之类的化学品可以用于去除牺牲层22A。Sacrificial layer 22A is then removed leaving recesses 58 extending between nanostructures 22B. The corresponding process is shown as process 236 in the process flow 200 shown in FIG. 16 . Sacrificial layer 22A may be removed by performing an isotropic etching process (eg, a wet etching process) using an etchant that is selective for the material of sacrificial layer 22A such that nanostructures 22B, 22B, Substrate 20, STI region 26, and remaining gate dielectric 32 are relatively unetched. According to some embodiments, wherein the sacrificial layer 22A includes, for example, SiGe, the nanostructures 22B include, for example, silicon or carbon-doped silicon. Chemicals such as tetramethylammonium hydroxide (TMAH), ammonium hydroxide ( NH4OH ), etc. may be used to remove sacrificial layer 22A.

参考图15A、图15B和图15C,栅极堆叠70被形成。相应的工艺在图16中示出的工艺流程200中被示出为工艺238。首先形成栅极电介质62。根据一些实施例,栅极电介质62中的每个栅极电介质62包括界面层64和界面层64上的高k电介质层66。界面层64可以由氧化硅形成或包括氧化硅,其可以通过诸如ALD或CVD之类的共形沉积工艺来沉积。根据一些实施例,高k电介质层66包括一个或多个电介质层。例如,高k电介质层66可以包括铪、铝、锆、镧、锰、钡、钛、铅及其组合的金属氧化物或硅酸盐。Referring to FIGS. 15A, 15B, and 15C, gate stack 70 is formed. The corresponding process is shown as process 238 in the process flow 200 shown in FIG. 16 . Gate dielectric 62 is formed first. According to some embodiments, each of the gate dielectrics 62 includes an interface layer 64 and a high-k dielectric layer 66 on the interface layer 64 . Interface layer 64 may be formed of or include silicon oxide, which may be deposited by a conformal deposition process such as ALD or CVD. According to some embodiments, high-k dielectric layer 66 includes one or more dielectric layers. For example, high-k dielectric layer 66 may include metal oxides or silicates of hafnium, aluminum, zirconium, lanthanum, manganese, barium, titanium, lead, and combinations thereof.

栅极电极68被形成在栅极电介质62之上。在形成工艺中,导电层首先被形成在高k电介质层66上以填充凹部58的剩余部分。栅极电极68可以包括含金属材料,例如,TiN、TaN、TiAl、TiAlC、钴、钌、铝、钨、其组合和/或其多层。栅极电极68还可以包括诸如钴、钨等之类的填充金属。栅极电介质62和栅极电极68也填充纳米结构22B中的相邻纳米结构22B之间的空间,并且填充在纳米结构22B中的底部纳米结构22B和衬底条带20’中的下方的衬底条带20’之间的空间。在填充凹部58之后,平坦化工艺(例如,CMP工艺或机械研磨工艺)可以被执行以去除栅极电介质62和栅极电极68的多余部分,该多余部分位于ILD 52的顶表面之上。栅极电极68和栅极电介质62被统称为所得纳米FET的栅极堆叠70。Gate electrode 68 is formed over gate dielectric 62 . During the formation process, a conductive layer is first formed on high-k dielectric layer 66 to fill the remainder of recess 58 . Gate electrode 68 may include metal-containing materials such as TiN, TaN, TiAl, TiAlC, cobalt, ruthenium, aluminum, tungsten, combinations thereof, and/or multiple layers thereof. Gate electrode 68 may also include filler metals such as cobalt, tungsten, and the like. Gate dielectric 62 and gate electrode 68 also fill the space between adjacent nanostructures 22B in nanostructures 22B, and fill the bottom nanostructures 22B in nanostructures 22B and the underlying liner in substrate strip 20'. Bottom strips with 20' of space between them. After filling recess 58 , a planarization process (eg, a CMP process or a mechanical grinding process) may be performed to remove excess portions of gate dielectric 62 and gate electrode 68 over the top surface of ILD 52 . Gate electrode 68 and gate dielectric 62 are collectively referred to as gate stack 70 of the resulting nanoFET.

接下来,栅极堆叠70被凹陷,使得凹部被形成在栅极堆叠70的正上方和在栅极间隔件38的相反部分之间。包括一层或多层电介质材料(例如,氮化硅或氧氮化硅等)的栅极掩模74被填充在凹部中的每个凹部中,然后执行平坦化工艺以去除在第一ILD 52之上延伸的电介质材料的多余部分。Next, the gate stack 70 is recessed such that a recess is formed directly above the gate stack 70 and between opposite portions of the gate spacer 38 . A gate mask 74 including one or more layers of dielectric material (e.g., silicon nitride or silicon oxynitride, etc.) is filled in each of the recesses, and then a planarization process is performed to remove parts of the first ILD 52 The excess portion of dielectric material that extends above.

图15A和图15B还示出了ILD 76被沉积在ILD 52之上和栅极掩模74之上。蚀刻停止层(未示出)可以(或不可以)被沉积在ILD 76形成之前。根据一些实施例,ILD 76通过FCVD、CVD、PECVD等形成。ILD 76由电介质材料形成,该电介质材料可以选自氧化硅、PSG、BSG、BPSG、USG等。15A and 15B also show that ILD 76 is deposited over ILD 52 and over gate mask 74. An etch stop layer (not shown) may (or may not) be deposited before the formation of ILD 76. According to some embodiments, ILD 76 is formed by FCVD, CVD, PECVD, etc. ILD 76 is formed of a dielectric material, which may be selected from silicon oxide, PSG, BSG, BPSG, USG, etc.

ILD 76、ILD 52、CESL 50、和栅极掩模74被蚀刻以形成凹部(由接触插塞80A和接触插塞80B占据),通过该凹部外延源极/漏极区域48和/或栅极堆叠70被暴露。可以通过各向异性蚀刻工艺(例如,RIE或NBE等)来形成凹部。根据一些实施例,可以通过使用第一蚀刻工艺蚀刻ILD 76和ILD 52、使用第二蚀刻工艺蚀刻栅极掩模74、以及可能地使用第三蚀刻工艺蚀刻CESL 50来形成凹部。虽然图15B示出了接触插塞80A和接触插塞80B位于相同的横截面中,但是在各种实施例中,接触插塞80A和接触插塞80B可以被形成在不同的横截面中,从而降低彼此短路的风险。ILD 76, ILD 52, CESL 50, and gate mask 74 are etched to form recesses (occupied by contact plugs 80A and 80B) through which source/drain regions 48 and/or the gate are epitaxially Stack 70 is exposed. The recessed portion may be formed by an anisotropic etching process (eg, RIE or NBE, etc.). According to some embodiments, the recess may be formed by etching ILD 76 and ILD 52 using a first etch process, etching gate mask 74 using a second etch process, and possibly etching CESL 50 using a third etch process. Although FIG. 15B illustrates contact plugs 80A and 80B in the same cross-section, in various embodiments, contact plugs 80A and 80B may be formed in different cross-sections such that Reduce the risk of short circuiting each other.

在凹部被形成之后,硅化物区域78(图15B和图15C)被形成在外延源极/漏极区域48之上。根据一些实施例,通过以下来形成硅化物区域78:首先沉积金属层(未示出),该金属层能够与下方的外延源极/漏极区域48的半导体材料(例如,硅、硅锗、锗)反应以形成硅化物区域和/或锗化物区域,然后执行热退火工艺以形成硅化物区域78。金属可以包括镍、钴、钛、钽、铂、钨等。然后例如通过蚀刻工艺来去除被沉积的金属的未反应部分。After the recesses are formed, suicide regions 78 ( FIGS. 15B and 15C ) are formed over epitaxial source/drain regions 48 . According to some embodiments, silicide region 78 is formed by first depositing a metal layer (not shown) that is capable of interacting with the underlying semiconductor material of epitaxial source/drain regions 48 (eg, silicon, silicon germanium, germanium) react to form a suicide region and/or a germanide region, and then a thermal annealing process is performed to form the suicide region 78 . Metals may include nickel, cobalt, titanium, tantalum, platinum, tungsten, etc. Unreacted portions of the deposited metal are then removed, for example by an etching process.

栅极接触插塞80A和源极/漏极接触插塞80B被形成。相应的工艺在图16中示出的工艺流程200中被示出为工艺240。源极/漏极接触插塞80B被形成在硅化物区域78之上。栅极接触件80A位于栅极电极68之上并且与栅极电极68接触。接触插塞80A和接触插塞80B可以各包括一层或多层,例如,阻挡层和填充材料。阻挡层可以包括钛、氮化钛、钽、氮化钽等。导电材料可以是铜、铜合金、银、金、钨、钴、铝、镍等。平坦化工艺(例如,CMP工艺)可以被执行以从ILD 76的表面去除多余的材料。因此GAA晶体管82被形成。Gate contact plug 80A and source/drain contact plug 80B are formed. The corresponding process is shown as process 240 in the process flow 200 shown in Figure 16. Source/drain contact plug 80B is formed on silicide region 78. Gate contact 80A is located on gate electrode 68 and contacts gate electrode 68. Contact plug 80A and contact plug 80B can each include one or more layers, for example, barrier layer and filling material. Barrier layer can include titanium, titanium nitride, tantalum, tantalum nitride, etc. Conductive material can be copper, copper alloy, silver, gold, tungsten, cobalt, aluminum, nickel, etc. A planarization process (e.g., CMP process) can be performed to remove excess material from the surface of ILD 76. Therefore, GAA transistor 82 is formed.

栅极电介质32可以存在于最终的GAA晶体管82中,并且可以具有单层结构或多层结构。图15D示出了横截面15D-15D(图15B)。在图15D中,被标记为44/70的区域表示在示出的横截面中可能出现内部隔离件44和/或栅极电极70。该结构的俯视图也可以从图13D和图13E中找到,其中,空间58填充有替代栅极堆叠70,并且也用替代栅极堆叠70替换牺牲半导体层22A。在GAA晶体管82中,例如可以通过透射电子显微镜(TEM)、能量分散X射线(EDX)、电子能量损失光谱(EELS)等来区分电介质层32。The gate dielectric 32 may be present in the final GAA transistor 82 and may have a single-layer structure or a multi-layer structure. FIG. 15D shows a cross section 15D-15D (FIG. 15B). In FIG. 15D, the area marked 44/70 indicates that an internal isolation member 44 and/or a gate electrode 70 may appear in the cross section shown. A top view of the structure can also be found in FIGS. 13D and 13E, in which the space 58 is filled with a replacement gate stack 70 and the sacrificial semiconductor layer 22A is also replaced with the replacement gate stack 70. In the GAA transistor 82, the dielectric layer 32 can be distinguished, for example, by transmission electron microscopy (TEM), energy dispersive X-ray (EDX), electron energy loss spectroscopy (EELS), and the like.

本公开的实施例具有一些有利的特征。通过形成多层虚设栅极电介质,避免了对纳米结构的损坏。而且,通过形成非共形虚设栅极电介质层,避免或减少了顶部纳米结构的损失。实验已经揭示,通过形成非共形虚设栅极电介质层,顶部纳米结构的损失可以减少到使用共形虚设栅极电介质层时损失的30%。Embodiments of the present disclosure have several advantageous features. By forming multiple layers of dummy gate dielectric, damage to the nanostructure is avoided. Furthermore, by forming a non-conformal dummy gate dielectric layer, the loss of the top nanostructure is avoided or reduced. Experiments have revealed that by forming a non-conformal dummy gate dielectric layer, the loss of the top nanostructure can be reduced to 30% of the loss when using a conformal dummy gate dielectric layer.

根据一些实施例,一种方法包括:形成突出半导体堆叠,半导体堆叠包括:多个牺牲层;以及多个纳米结构,其中,多个牺牲层和多个纳米结构交替布置;在突出半导体堆叠的侧壁和顶表面上沉积电介质层,其中,电介质层包括:下子层;以及上子层,在下子层之上,其中,下子层和上子层包括不同的电介质材料;在电介质层上形成虚设栅极电极层;对虚设栅极电极层进行图案化以形成虚设栅极电极,其中,电介质层用作蚀刻停止层;在虚设栅极电极的附加侧壁上形成栅极间隔件;去除虚设栅极电极;蚀刻电介质层以显露突出半导体堆叠;去除多个牺牲层;以及形成替代栅极堆叠,替代栅极堆叠填充由被去除的虚设栅极电极和被去除的多个牺牲层留下的空间。According to some embodiments, a method includes: forming a protruding semiconductor stack, the semiconductor stack including: a plurality of sacrificial layers; and a plurality of nanostructures, wherein the plurality of sacrificial layers and the plurality of nanostructures are arranged alternately; depositing a dielectric layer on the sidewalls and top surface of the protruding semiconductor stack, wherein the dielectric layer includes: a lower sublayer; and an upper sublayer above the lower sublayer, wherein the lower sublayer and the upper sublayer include different dielectric materials; forming a dummy gate electrode layer on the dielectric layer; patterning the dummy gate electrode layer to form a dummy gate electrode, wherein the dielectric layer serves as an etch stop layer; forming a gate spacer on an additional sidewall of the dummy gate electrode; removing the dummy gate electrode; etching the dielectric layer to reveal the protruding semiconductor stack; removing the plurality of sacrificial layers; and forming a replacement gate stack, wherein the replacement gate stack fills the space left by the removed dummy gate electrode and the removed plurality of sacrificial layers.

在实施例中,下子层和上子层中的第一者被形成为非共形层。在实施例中,下子层和上子层中的非共形的第一者是使用原子层沉积来形成的。在实施例中,下子层和上子层中的第二者也是使用原子层沉积来形成的,并且下子层和上子层中的第二者是共形层。在实施例中,下子层和上子层两者都具有等于侧壁厚度的顶部厚度。In an embodiment, the first of the lower sub-layer and the upper sub-layer is formed as a non-conformal layer. In an embodiment, the non-conformal first of the lower sub-layer and the upper sub-layer is formed using atomic layer deposition. In an embodiment, the second of the lower sub-layer and the upper sub-layer is also formed using atomic layer deposition, and the second of the lower sub-layer and the upper sub-layer is a conformal layer. In an embodiment, both the lower sub-layer and the upper sub-layer have a top thickness equal to the sidewall thickness.

在实施例中,该方法还包括:在形成栅极间隔件之后,蚀刻部分突出半导体堆叠,其中,在蚀刻之后保留突出半导体堆叠的位于栅极间隔件和虚设栅极电极下方的一部分;以及使多个牺牲层横向凹陷,其中,通孔被形成以穿透下子层,并且其中,通孔被上子层阻挡。在实施例中,在虚设栅极电极被去除之后的时刻,上子层被暴露,并且其中,上子层阻挡在去除虚设栅极电极中使用的化学品延伸到通孔中。In an embodiment, the method further includes: etching a portion of the protruding semiconductor stack after forming the gate spacer, wherein a portion of the protruding semiconductor stack below the gate spacer and the dummy gate electrode remains after etching; and causing The plurality of sacrificial layers are laterally recessed, wherein via holes are formed to penetrate the lower sub-layer, and wherein the via holes are blocked by the upper sub-layer. In an embodiment, the upper sub-layer is exposed at a time after the dummy gate electrode is removed, and wherein the upper sub-layer blocks chemicals used in removing the dummy gate electrode from extending into the via.

在实施例中,在蚀刻电介质层以显露突出半导体堆叠之后,保留电介质层的位于栅极间隔件正下方的一部分。在实施例中,下子层包括氧化硅,上子层包括硅和碳。在实施例中,下子层包括氧化硅,上子层包括硅和氮。In an embodiment, after etching the dielectric layer to reveal the protruding semiconductor stack, a portion of the dielectric layer directly beneath the gate spacer remains. In an embodiment, the lower sub-layer includes silicon oxide and the upper sub-layer includes silicon and carbon. In an embodiment, the lower sub-layer includes silicon oxide and the upper sub-layer includes silicon and nitrogen.

根据一些实施例,一种器件包括:栅极堆叠,包括顶部;堆叠结构,位于栅极堆叠的顶部的下方,堆叠结构包括:多个半导体纳米结构,多个半导体纳米结构中的上纳米结构与多个半导体纳米结构中的下纳米结构重叠;以及多个栅极结构,每个栅极结构包括栅极堆叠的下部,其中,多个栅极结构中的每个栅极结构位于多个半导体纳米结构中的两个半导体纳米结构之间;电介质层,在堆叠结构的顶表面和侧壁上延伸,其中,电介质层包括:下子层,包括第一电介质材料;以及上子层,在下子层之上,其中,上子层包括不同于第一电介质材料的第二电介质材料;栅极间隔件,在电介质层上;以及源极/漏极区域,位于栅极堆叠的旁边。According to some embodiments, a device includes: a gate stack including a top; a stack structure located below the top of the gate stack, the stack structure including: a plurality of semiconductor nanostructures, an upper nanostructure of the plurality of semiconductor nanostructures and The lower nanostructures of the plurality of semiconductor nanostructures overlap; and a plurality of gate structures, each gate structure including a lower portion of the gate stack, wherein each of the plurality of gate structures is located on the plurality of semiconductor nanostructures. between two semiconductor nanostructures in the structure; a dielectric layer extending over the top surface and sidewalls of the stacked structure, wherein the dielectric layer includes: a lower sub-layer including a first dielectric material; and an upper sub-layer between the lower sub-layers on, wherein the upper sub-layer includes a second dielectric material different from the first dielectric material; a gate spacer on the dielectric layer; and a source/drain region next to the gate stack.

在实施例中,下子层和上子层中的第一层是非共形层,第一层的在堆叠结构的顶表面之上的顶部具有第一厚度,并且第一层的在堆叠结构的侧壁上的下部具有不同于第一厚度的第二厚度。在实施例中,第一厚度与第二厚度的比率在约2和约5之间的范围内。In an embodiment, a first layer of the lower sub-layer and the upper sub-layer is a non-conformal layer, a top of the first layer above a top surface of the stacked structure has a first thickness, and a top of the first layer is on a side of the stacked structure. The lower portion of the wall has a second thickness that is different from the first thickness. In embodiments, the ratio of the first thickness to the second thickness ranges between about 2 and about 5.

在实施例中,下子层和上子层中的第二层是共形层。在实施例中,下子层中具有气隙,并且其中,上子层和多个半导体纳米结构中的一个半导体纳米结构位于气隙的相反侧上。在实施例中,下子层包括氧化硅,上子层包括碳化硅。在实施例中,堆叠结构还包括电介质内部间隔件,每个电介质内部间隔件位于多个半导体纳米结构中的两个半导体纳米结构之间,其中,下子层与电介质内部间隔件接触。In an embodiment, the second layer in the lower sub-layer and the upper sub-layer is a conformal layer. In an embodiment, the lower sub-layer has an air gap therein, and wherein the upper sub-layer and one of the plurality of semiconductor nanostructures are located on opposite sides of the air gap. In an embodiment, the lower sub-layer includes silicon oxide and the upper sub-layer includes silicon carbide. In an embodiment, the stacked structure further includes dielectric internal spacers, each dielectric internal spacer located between two semiconductor nanostructures of the plurality of semiconductor nanostructures, wherein the lower sub-layer is in contact with the dielectric internal spacers.

根据一些实施例,一个器件包括:半导体衬底;第一电介质隔离区域和第二电介质隔离区域,包括在半导体衬底中的至少一些部分;突出结构,突出高于电介质隔离区域的顶表面,其中,突出结构横向地位于第一电介质隔离区域和第二电介质隔离区域之间,并且其中,突出结构包括:多个半导体层;以及多个栅极堆叠部分,其中,多个半导体层和多个栅极堆叠部分交替放置;多个内部间隔件,包括多个对,每个对位于多个栅极堆叠部分中的一个栅极堆叠部分的相反侧上;以及电介质层,包括:顶部,在突出结构之上,其中,顶部具有第一厚度;以及侧壁部分,与多个内部间隔件中的一个内部间隔件接触,其中,侧壁部分具有不同于第一厚度的第二厚度。在实施例中,电介质层包括由不同材料形成的多个子层。在实施例中,第一厚度大于第二厚度,第一厚度与第二厚度的比率在约2和约5之间的范围内。According to some embodiments, a device includes: a semiconductor substrate; first and second dielectric isolation regions, including at least some portions of the semiconductor substrate; and a protruding structure protruding above a top surface of the dielectric isolation region, wherein , the protruding structure is laterally located between the first dielectric isolation region and the second dielectric isolation region, and wherein the protruding structure includes: a plurality of semiconductor layers; and a plurality of gate stack portions, wherein the plurality of semiconductor layers and the plurality of gate stacks the gate stack portions are alternately positioned; a plurality of internal spacers, including a plurality of pairs, each pair being located on an opposite side of one of the plurality of gate stack portions; and a dielectric layer including: a top, on the protruding structure thereon, wherein the top portion has a first thickness; and a sidewall portion in contact with one of the plurality of inner spacers, wherein the sidewall portion has a second thickness different from the first thickness. In an embodiment, the dielectric layer includes multiple sub-layers formed of different materials. In embodiments, the first thickness is greater than the second thickness, and the ratio of the first thickness to the second thickness ranges between about 2 and about 5.

上文概述了若干实施例的特征,使得本领域技术人员可以较好地理解本公开的各方面。本领域的技术人员应该领会的是,他们可以容易地使用本公开作为用于设计或修改用于执行相同目的和/或实现本文中所介绍的实施例的相同优点的其他过程和结构的基础。本领域技术人员还应当认识到,这些等同构造并不脱离本公开的精神和范围,并且他们可以在不脱离本公开的精神和范围的情况下进行各种改变、替代和变更。The above summarizes the features of several embodiments to enable those skilled in the art to better understand various aspects of the present disclosure. Those skilled in the art should appreciate that they may readily use the present disclosure as a basis for designing or modifying other processes and structures for carrying out the same purposes and/or achieving the same advantages of the embodiments introduced herein. Those skilled in the art should also realize that these equivalent constructions do not depart from the spirit and scope of the present disclosure, and they can make various changes, substitutions and alterations without departing from the spirit and scope of the present disclosure.

示例1是一种形成半导体器件的方法,包括:形成突出半导体堆叠,所述半导体堆叠包括:多个牺牲层;以及多个纳米结构,其中,所述多个牺牲层和所述多个纳米结构交替布置;在所述突出半导体堆叠的侧壁和顶表面上沉积电介质层,其中,所述电介质层包括:下子层;以及上子层,在所述下子层之上,其中,所述下子层和所述上子层包括不同的电介质材料;在所述电介质层上形成虚设栅极电极层;对所述虚设栅极电极层进行图案化以形成虚设栅极电极,其中,所述电介质层用作蚀刻停止层;在所述虚设栅极电极的附加侧壁上形成栅极间隔件;去除所述虚设栅极电极;蚀刻所述电介质层以显露所述突出半导体堆叠;去除所述多个牺牲层;以及形成替代栅极堆叠,所述替代栅极堆叠填充由被去除的虚设栅极电极和被去除的多个牺牲层留下的空间。Example 1 is a method of forming a semiconductor device, including: forming a protruding semiconductor stack, the semiconductor stack including: a plurality of sacrificial layers; and a plurality of nanostructures, wherein the plurality of sacrificial layers and the plurality of nanostructures Alternately arranged; depositing dielectric layers on sidewalls and top surfaces of the protruding semiconductor stack, wherein the dielectric layer includes: a lower sub-layer; and an upper sub-layer over the lower sub-layer, wherein the lower sub-layer and the upper sub-layer including different dielectric materials; forming a dummy gate electrode layer on the dielectric layer; patterning the dummy gate electrode layer to form a dummy gate electrode, wherein the dielectric layer is forming an etch stop layer; forming gate spacers on additional sidewalls of the dummy gate electrode; removing the dummy gate electrode; etching the dielectric layer to reveal the protruding semiconductor stack; removing the plurality of sacrificial layer; and forming a replacement gate stack that fills the space left by the removed dummy gate electrode and the removed plurality of sacrificial layers.

示例2是示例1所述的方法,其中,所述下子层和所述上子层中的第一者被形成为非共形层。Example 2 is the method of Example 1, wherein the first of the lower sub-layer and the upper sub-layer is formed as a non-conformal layer.

示例3是示例2所述的方法,其中,所述下子层和所述上子层中的非共形的所述第一者是使用原子层沉积来形成的。Example 3 is the method of Example 2, wherein the non-conformal first of the lower sub-layer and the upper sub-layer is formed using atomic layer deposition.

示例4是示例3所述的方法,其中,所述下子层和所述上子层中的第二者也是使用原子层沉积来形成的,并且所述下子层和所述上子层中的所述第二者是共形层。Example 4 is the method of Example 3, wherein a second one of the lower sub-layer and the upper sub-layer is also formed using atomic layer deposition, and all of the lower sub-layer and the upper sub-layer The second one is the conformal layer.

示例5是示例1所述的方法,其中,所述下子层和所述上子层两者都具有等于侧壁厚度的顶部厚度。Example 5 is the method of Example 1, wherein both the lower sublayer and the upper sublayer have a top thickness equal to a sidewall thickness.

示例6是示例1所述的方法,还包括:在形成所述栅极间隔件之后,蚀刻部分所述突出半导体堆叠,其中,在所述蚀刻之后,保留所述突出半导体堆叠的位于所述栅极间隔件和所述虚设栅极电极下方的一部分;以及使所述多个牺牲层横向凹陷,其中,通孔被形成以穿透所述下子层,并且其中,所述通孔被所述上子层阻挡。Example 6 is the method of Example 1, further comprising: after forming the gate spacer, etching a portion of the protruding semiconductor stack, wherein after the etching, retaining a portion of the protruding semiconductor stack located on the gate electrode spacers and a portion below the dummy gate electrode; and laterally recessing the plurality of sacrificial layers, wherein via holes are formed to penetrate the lower sublayer, and wherein the via holes are formed by the upper Sublayer blocking.

示例7是示例6所述的方法,其中,在所述虚设栅极电极被去除之后的时刻,所述上子层被暴露,并且其中,所述上子层阻挡在去除所述虚设栅极电极中使用的化学品延伸到所述通孔中。Example 7 is the method of Example 6, wherein the upper sub-layer is exposed at a time after the dummy gate electrode is removed, and wherein the upper sub-layer blocks removal of the dummy gate electrode. The chemicals used in extend into the vias.

示例8是示例1所述的方法,其中,在蚀刻所述电介质层以显露所述突出半导体堆叠之后,保留所述电介质层的位于所述栅极间隔件正下方的一部分。Example 8 is the method of Example 1, wherein after etching the dielectric layer to reveal the protruding semiconductor stack, a portion of the dielectric layer directly beneath the gate spacer remains.

示例9是示例1所述的方法,其中,所述下子层包括氧化硅,并且所述上子层包括硅和碳。Example 9 is the method of Example 1, wherein the lower sublayer includes silicon oxide and the upper sublayer includes silicon and carbon.

示例10是示例1所述的方法,其中,所述下子层包括氧化硅,所述上子层包括硅和氮。Example 10 is the method of Example 1, wherein the lower sublayer includes silicon oxide and the upper sublayer includes silicon and nitrogen.

示例11是一种半导体器件,包括:栅极堆叠,包括顶部;堆叠结构,位于所述栅极堆叠的顶部的下方,所述堆叠结构包括:多个半导体纳米结构,所述多个半导体纳米结构中的上纳米结构与所述多个半导体纳米结构中的下纳米结构重叠;以及多个栅极结构,每个栅极结构包括所述栅极堆叠的下部,其中,所述多个栅极结构中的每个栅极结构位于所述多个半导体纳米结构中的两个半导体纳米结构之间;电介质层,在所述堆叠结构的顶表面和侧壁上延伸,其中,所述电介质层包括:下子层,包括第一电介质材料;以及上子层,在所述下子层之上,其中,所述上子层包括不同于所述第一电介质材料的第二电介质材料;栅极间隔件,在所述电介质层上;以及源极/漏极区域,位于所述栅极堆叠的旁边。Example 11 is a semiconductor device, comprising: a gate stack including a top; a stack structure located below the top of the gate stack, the stack structure comprising: a plurality of semiconductor nanostructures, an upper nanostructure in the plurality of semiconductor nanostructures overlapping with a lower nanostructure in the plurality of semiconductor nanostructures; and a plurality of gate structures, each gate structure comprising a lower portion of the gate stack, wherein each gate structure in the plurality of gate structures is located between two semiconductor nanostructures in the plurality of semiconductor nanostructures; a dielectric layer extending on a top surface and sidewalls of the stack structure, wherein the dielectric layer comprises: a lower sublayer comprising a first dielectric material; and an upper sublayer above the lower sublayer, wherein the upper sublayer comprises a second dielectric material different from the first dielectric material; a gate spacer on the dielectric layer; and a source/drain region located next to the gate stack.

示例12是示例11所述的器件,其中,所述下子层和所述上子层中的第一层是非共形层,所述第一层的在所述堆叠结构的顶表面之上的顶部具有第一厚度,并且所述第一层的在所述堆叠结构的侧壁上的下部具有不同于所述第一厚度的第二厚度。Example 12 is the device described in Example 11, wherein a first layer among the lower sublayer and the upper sublayer is a non-conformal layer, the first layer has a first thickness at a top portion above the top surface of the stacked structure, and the first layer has a second thickness at a lower portion on a sidewall of the stacked structure that is different from the first thickness.

示例13是示例12所述的器件,其中,所述第一厚度大于所述第二厚度,并且所述第一厚度与所述第二厚度的比率在约2和约5之间的范围内。Example 13 is the device of Example 12, wherein the first thickness is greater than the second thickness, and a ratio of the first thickness to the second thickness ranges between about 2 and about 5.

示例14是示例12的器件,其中,所述下子层和所述上子层中的第二层是共形层。Example 14 is the device of Example 12, wherein the second layer of the lower sub-layer and the upper sub-layer is a conformal layer.

示例15是示例11所述的器件,其中,所述下子层中具有气隙,并且其中,所述上子层和所述多个半导体纳米结构中的一个半导体纳米结构位于所述气隙的相反侧上。Example 15 is the device of Example 11, wherein the lower sublayer has an air gap therein, and wherein the upper sublayer and one of the plurality of semiconductor nanostructures are located opposite the air gap. side.

示例16是示例11所述的器件,其中,所述下子层包括氧化硅,并且所述上子层包括碳化硅。Example 16 is the device of Example 11, wherein the lower sublayer includes silicon oxide and the upper sublayer includes silicon carbide.

示例17是示例11所述的器件,其中,所述堆叠结构还包括电介质内部间隔件,每个所述电介质内部间隔件位于所述多个半导体纳米结构中的两个半导体纳米结构之间,其中,所述下子层与所述电介质内部间隔件接触。Example 17 is the device of Example 11, wherein the stacked structure further includes a dielectric internal spacer, each of the dielectric internal spacers being located between two of the plurality of semiconductor nanostructures, wherein , the lower sublayer is in contact with the dielectric inner spacer.

示例18是一种半导体器件,包括:半导体衬底;第一电介质隔离区域和第二电介质隔离区域,包括在所述半导体衬底中的至少一些部分;突出结构,突出高于所述电介质隔离区域的顶表面,其中,所述突出结构横向地位于所述第一电介质隔离区域和所述第二电介质隔离区域之间,并且其中,所述突出结构包括:多个半导体层;以及多个栅极堆叠部分,其中,所述多个半导体层和所述多个栅极堆叠部分交替放置;多个内部间隔件,包括多个对,每个对位于所述多个栅极堆叠部分中的一个栅极堆叠部分的相反侧上;以及电介质层,包括:顶部,在所述突出结构之上,其中,所述顶部具有第一厚度;以及侧壁部分,与所述多个内部间隔件中的一个内部间隔件接触,其中,所述侧壁部分具有不同于所述第一厚度的第二厚度。Example 18 is a semiconductor device including: a semiconductor substrate; first and second dielectric isolation regions, including at least some portions of the semiconductor substrate; and a protruding structure protruding above the dielectric isolation region. The top surface of the invention, wherein the protruding structure is laterally located between the first dielectric isolation region and the second dielectric isolation region, and wherein the protruding structure includes: a plurality of semiconductor layers; and a plurality of gate electrodes a stack portion, wherein the plurality of semiconductor layers and the plurality of gate stack portions are alternately disposed; a plurality of internal spacers including a plurality of pairs, each pair being located on one gate of the plurality of gate stack portions; on an opposite side of the pole stack portion; and a dielectric layer including: a top portion over the protruding structure, wherein the top portion has a first thickness; and a sidewall portion with one of the plurality of internal spacers Internal spacer contacts, wherein the sidewall portions have a second thickness that is different than the first thickness.

示例19是示例18所述的器件,其中,所述电介质层包括由不同材料形成的多个子层。Example 19 is the device of Example 18, wherein the dielectric layer includes a plurality of sub-layers formed of different materials.

示例20是示例18所述的器件,其中,所述第一厚度大于所述第二厚度,其中,所述第一厚度与所述第二厚度的比率在约2和约5之间的范围内。Example 20 is the device of Example 18, wherein the first thickness is greater than the second thickness, wherein a ratio of the first thickness to the second thickness is in a range between about 2 and about 5.

Claims (10)

1. A method of forming a semiconductor device, comprising:
forming a protruding semiconductor stack, the semiconductor stack comprising:
a plurality of sacrificial layers; and
a plurality of nanostructures, wherein the plurality of sacrificial layers and the plurality of nanostructures are alternately arranged;
depositing a dielectric layer on sidewalls and a top surface of the protruding semiconductor stack, wherein the dielectric layer comprises:
a lower sub-layer; and
an upper sub-layer over the lower sub-layer, wherein the lower sub-layer and the upper sub-layer comprise different dielectric materials;
forming a dummy gate electrode layer on the dielectric layer;
patterning the dummy gate electrode layer to form a dummy gate electrode, wherein the dielectric layer serves as an etch stop layer;
forming a gate spacer on an additional sidewall of the dummy gate electrode;
removing the dummy gate electrode;
etching the dielectric layer to reveal the protruding semiconductor stack;
removing the plurality of sacrificial layers; and
A replacement gate stack is formed that fills the space left by the removed dummy gate electrode and the removed plurality of sacrificial layers.
2. The method of claim 1, wherein a first of the lower sub-layer and the upper sub-layer is formed as a non-conformal layer.
3. The method of claim 2, wherein the non-conformal first one of the lower sub-layer and the upper sub-layer is formed using atomic layer deposition.
4. The method of claim 3, wherein a second of the lower sub-layer and the upper sub-layer is also formed using atomic layer deposition, and the second of the lower sub-layer and the upper sub-layer is a conformal layer.
5. The method of claim 1, wherein both the lower sub-layer and the upper sub-layer have a top thickness equal to a sidewall thickness.
6. The method of claim 1, further comprising:
etching a portion of the protruding semiconductor stack after forming the gate spacer, wherein after the etching, a portion of the protruding semiconductor stack under the gate spacer and the dummy gate electrode remains; and
The plurality of sacrificial layers are laterally recessed, wherein a via is formed to penetrate the lower sub-layer, and wherein the via is blocked by the upper sub-layer.
7. The method of claim 6, wherein the upper sub-layer is exposed at a time after the dummy gate electrode is removed, and wherein the upper sub-layer blocks chemicals used in removing the dummy gate electrode from extending into the via.
8. The method of claim 1, wherein a portion of the dielectric layer directly under the gate spacer remains after etching the dielectric layer to reveal the protruding semiconductor stack.
9. A semiconductor device, comprising:
a gate stack including a top;
a stack structure located below a top of the gate stack, the stack structure comprising:
a plurality of semiconductor nanostructures, an upper nanostructure of the plurality of semiconductor nanostructures overlapping a lower nanostructure of the plurality of semiconductor nanostructures; and
a plurality of gate structures, each gate structure comprising a lower portion of the gate stack, wherein each gate structure of the plurality of gate structures is located between two semiconductor nanostructures of the plurality of semiconductor nanostructures;
A dielectric layer extending over the top surface and sidewalls of the stacked structure, wherein the dielectric layer comprises:
a lower sub-layer comprising a first dielectric material; and
an upper sub-layer over the lower sub-layer, wherein the upper sub-layer comprises a second dielectric material different from the first dielectric material;
a gate spacer on the dielectric layer; and
source/drain regions located beside the gate stack.
10. A semiconductor device, comprising:
a semiconductor substrate;
a first dielectric isolation region and a second dielectric isolation region, including at least some portions in the semiconductor substrate;
a protruding structure protruding above a top surface of the dielectric isolation region, wherein the protruding structure is located laterally between the first dielectric isolation region and the second dielectric isolation region, and wherein the protruding structure comprises:
a plurality of semiconductor layers; and
a plurality of gate stack portions, wherein the plurality of semiconductor layers and the plurality of gate stack portions are alternately placed;
a plurality of internal spacers comprising a plurality of pairs, each pair being located on opposite sides of one of the plurality of gate stack portions; and
A dielectric layer, comprising:
a top over the protruding structure, wherein the top has a first thickness; and
a sidewall portion in contact with one of the plurality of inner spacers, wherein the sidewall portion has a second thickness different from the first thickness.
CN202310428920.2A 2022-11-22 2023-04-20 Dielectric layer for nanoplatelet protection and method of forming the same Pending CN117790422A (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US63/384,639 2022-11-22
US18/154,975 US20240170563A1 (en) 2022-11-22 2023-01-16 Dielectric Layer for Nanosheet Protection and Method of Forming the Same
US18/154,975 2023-01-16

Publications (1)

Publication Number Publication Date
CN117790422A true CN117790422A (en) 2024-03-29

Family

ID=90396776

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202310428920.2A Pending CN117790422A (en) 2022-11-22 2023-04-20 Dielectric layer for nanoplatelet protection and method of forming the same

Country Status (1)

Country Link
CN (1) CN117790422A (en)

Similar Documents

Publication Publication Date Title
TW202109678A (en) Manufacturing method of semiconductor device
CN109427671A (en) Fin structure for semiconductor devices
TWI878746B (en) Metal gate fin electrode structure and formation method thereof
US20220367193A1 (en) Semiconductor Device and Method
US20250349546A1 (en) Contact resistance reduction for transistors
US20250349545A1 (en) Volume-less fluorine incorporation method
US20240145543A1 (en) Semiconductor device and method of forming the same
TWI858540B (en) Integrated circuit structure and method forming same
US12471342B2 (en) NFET with aluminum-free work-function layer and method forming same
US11855140B2 (en) Gate oxide of nanostructure transistor with increased corner thickness
TWI864964B (en) Semiconductor device and method of forming the same
US20250344476A1 (en) Selective deposition of mask for reducing nano sheet loss
CN117790422A (en) Dielectric layer for nanoplatelet protection and method of forming the same
TWI807711B (en) An integrated circuit structure and method of forming the same
TWI884616B (en) Method of forming semiconductor device
CN117316768A (en) Volume-free fluorine doping method
CN116469835A (en) Work function metal in transistor and forming method thereof
CN118748189A (en) Semiconductor device and method of forming the same
CN119562544A (en) Processing of tuning transistor threshold voltage
CN121057241A (en) Methods for forming semiconductor devices and semiconductor devices
CN116469836A (en) Integrated circuit structure and method for manufacturing the same
CN120322006A (en) Nanostructure profile in GAA and its formation method

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination